Bulletin of the American Physical Society
2008 APS March Meeting
Volume 53, Number 2
Monday–Friday, March 10–14, 2008; New Orleans, Louisiana
Session Q1: Ballistic Charge and Spin Transport in Graphene
Sponsoring Units: DCMPChair: Shan-Wen Tsai, University of California, Riverside
Room: Morial Convention Center LaLouisiane AB
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q1.00001: Electronic spin transport and spin precession in single graphene layers at room temperature. Invited Speaker: I will give a review of our experiments on spin injection, spin transport and spin precession in field effect transistors based on single graphene layers. We have employed a four terminal non-local measurement technique which allows us to fully separate the electronic charge and spin circuits. One pair of ferromagnetic electrodes is used as spin injectors, the other pair as spin detectors. By using different widths for the ferromagnetic electrodes we are able to control the coercive fields and prepare the magnetization direction of each with an applied magnetic field (in positive or negative x-direction). We observe clear signals due to spin diffusion from injector to detector electrodes. From the dependence of the spin signals on electrode spacing we obtain a spin relaxation length of 1.5 to 2 micrometer, and a corresponding spin relaxation time of about 100 ps [1]. These measurements are confirmed by Hanle-type spin precession measurements where the injected spins precess around a magnetic field applied perpendicular to the graphene plane. The spin signals only weakly depend on temperature (between 4.2 K and 300K), and also change little when the gate voltage is tuned from the metallic electron/hole regimes to the Dirac neutrality point. Recent experiments show that the spin relaxation times/lengths are similar for spin directions pointing in the graphene plane and perpendicular to the graphene plane [2]. Also the presence of an Al$_2$O$_3$ layer on top of the graphene does not significantly change the spin relaxation length and time. I will discuss these results in the light of existing theories for spin-orbit interaction in graphene. The implications for graphene spintronics and graphene qubits will be discussed. \newline \newline [1] N. Tombros, C. Jozsa, M. Popinciuc, H.T. Jonkman, and B.J. van Wees, Nature 448, 571 (2007) \newline [2] N. Tombros et al.,submitted to Phys. Rev. lett. \newline [3] M. Popinciuc et al., submitted to Phys. Rev. B. \newline [4] C. Jozs et al., submitted to Phys. Rev. Lett. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:27PM |
Q1.00002: Phase coherent transport in graphene Invited Speaker: The investigation of transport phenomena originating from quantum interference of electronic waves has proven to be a very effective probe of the electronic properties of conducting materials. Recent work has shown that this is also the case for graphene, a novel material consisting of an individual layer of carbon atoms, in which the electron dynamics is governed by the Dirac equation. After introducing the peculiar aspect of the low-energy electronic properties of graphene that are important to understand quantum interference in this material, I will present our experimental work. I will first discuss our study of Aharonov-Bohm conductance oscillations in graphene ring-shaped devices -which demonstrates directly the phase coherent nature of transport in graphene-, and emphasize an unusual dependence of the oscillation amplitude on the device conductance. Next I will touch upon the anomalous behavior of weak-localization observed in the experiments and compare it with our observations of supercurrent and superconducting proximity effect in graphene Josephson junctions. I will conclude by discussing the relevance of the two valleys in graphene for the understanding of quantum interference in this material. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 1:03PM |
Q1.00003: Phase Coherent Charge Transport in Graphene Quantum Billiards Invited Speaker: As an emergent model system for condensed matter physics and a promising electronic material, graphene's electrical transport properties has become a subject of intense focus. Via low temperature transport spectroscopy on single and bi-layer graphene devices, we show that the minimum conductivity value is geometry dependent and approaches the theoretical value of $4e^{2}/\pi h$ only for wide and short graphene strips. Moreover, we observe periodic conductance oscillations with bias and gate voltages, arising from quantum interference of multiply-reflected waves of charges in graphene. When graphene is coupled to superconducting electrodes, we observe gate tunable supercurrent and sub-gap structures, which originate from multiple Andreev reflection at the graphene-superconductor interfaces. Our results demonstrate that graphene can act as a quantum billiard with a long phase coherence length. \textit{This work was supported in part by DOD/DMEA-H94003-06-2-0608}. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:39PM |
Q1.00004: Ballistic Transport in Graphene. Invited Speaker: Charge transport in ballistic graphene-based microstructures is described within the scattering formalism, which takes into account evanescent modes induced by metallic contacts. We discuss in detail new theoretical predictions for the charge transport and shot noise in the models which include local potential inhomogeneities, next to the nearest neighbor coupling, or ripples in the graphene plane. [Preview Abstract] |
Session Q2: The Physics of Self-Assembled Protein Cages
Sponsoring Units: DBP DMPChair: Bogdan Dragnea, Indiana University
Room: Morial Convention Center LaLouisiane C
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q2.00001: Packaging of Polyelectrolytes in Viral Capsids: The Interplay Between Polymer Length and Capsid Size Invited Speaker: Each particle of the Cowpea Chlorotic Mottle Virus (CCMV) has a very small ``parts list,'' consisting of two components: a molecule of single-stranded RNA and a 190-residue protein that makes up the 28-nm diameter icosahedral capsid. When purified viral RNA and capsid protein are mixed in solution at an appropriate pH and ionic strength, infectious wild-type viruses form spontaneously. Virus-like particles (VLPs) are formed when the protein self assembles around other anionic polymers such as poly(styrene sulfonate) (PSS). Under different pH and ionic strength conditions the capsid protein can assemble by itself into empty capsids, multishell structures, tubes and sheets. To explore the effect on virion size of the competition between the preferred curvature of the protein and the size of the packaged cargo we have examined the formation of VLPs around PSS polymers with molecular weights ranging from 400 kDa to 3.4 MDa. Two distinct sizes are observed -- 22 nm for the lower molecular weights, jumping to 27 nm at 2 MDa. While under given conditions the size of PSS in solution is directly determined by its molecular weight, the self-complementarity of RNA makes its solution structure dependent on the nucleotide \textit{sequence} as well. We have therefore employed Small-Angle X-ray Scattering and Fluorescence Correlation Spectroscopy to examine the sizes of viral and non-viral RNAs of identical lengths. A model for the assembly that includes both the self-interactions of the polyelectrolyte and the capsid proteins and the interactions between them provides insight into the experimental results. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:27PM |
Q2.00002: Diversity in virus assembly: biology makes things complicated Invited Speaker: Icosahedral viruses have an elegance of geometry that implies a general path of assembly. However, structure alone provides insufficient information.~ Cowpea Chlorotic Mottle Virus (CCMV), an important system for studying virus assembly, consists of 90 coat protein (CP) homodimers condensed around an RNA genome. The crystal structure (Speir et al, 1995) reveals that assembly causes burial of hydrophobic surface and formation of $\beta$ hexamers, the intertwining of N-termini of the CPs surrounding a quasi-sixfold. This structural view leads to reasonable and erroneous predictions: (i) CCMV capsids are extremely stable, and (ii) $\beta$ hexamer formation is critical to assembly.~ Experimentally, we have found that capsids are based on a network of extremely weak (4-5 kT) pairwise interactions and that pentamer formation is the critical step in assembly kinetics. Because of the fragility of CP-Cp interaction, we can redirect assembly to generate and dissociate tubular nanostructures. The dynamic behavior of CCMV reflects the requirements and peculiarities of an evolved biological system; it does not necessarily reflect the behavior predicted from a more static picture of the virus. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 1:03PM |
Q2.00003: Dynamic Models for Templated Viral Capsid Assembly Invited Speaker: The replication of many viruses with single-stranded genomes requires the simultaneous assembly of an ordered protein shell, or capsid, and encapsidation of the genome. In this talk, I will present coarse-grained computational and theoretical models that describe the assembly of viral capsid proteins around interior cores, such as polymers and rigid spheres. These models are motivated by two recently developed experimental model systems in which viral proteins dynamically encapsidate inorganic nanoparticles and polyelectrolytes. Model predictions suggest that some forms of cooperative interactions between subunits and cores can dramatically enhance rates and robustness of assembly, as compared to the spontaneous assembly of subunits into empty capsids. For large core-subunit interactions, subunits adsorb onto a core en masse in a disordered manner, and then undergo a cooperative rearrangement into an ordered capsid structure. These assembly pathways are unlike any seen for empty capsids formation. While model predictions suggest that cooperative interactions between disparate assembling components can overcome some limitations of spontaneous assembly, the complexity of multicomponent assembly introduces new forms of kinetic traps that can frustrate assembly, and hence introduces new limitations. These findings have implications for a mechanism in which viruses use interactions between proteins and genomic molecules to promote and control assembly, and thereby control the replication process. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:39PM |
Q2.00004: Self-Assembly of the HIV Virus Invited Speaker: The talk will discuss the application of the continuum theory of elastic shells to understand the different morphologies of Retroviral capsids. Minor differences in molecular structure between different capsid proteins produce large changes in capsid morphology. Continuum elasticity theory can account for the capsid shape ``phase-diagram.'' The conical shape of the capsid of the HIV virus is the result of assembly ``constraints'' in the form of the enclosing lipid bilayer and the osmotic pressure of the encapsidated genome molecules. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 2:15PM |
Q2.00005: Menagerie of Viruses: Diverse Chemical Sequences or Simple Electrostatics? Invited Speaker: The genome packing in hundreds of viruses is investigated by analyzing the chemical sequences of the genomes and the corresponding capsid proteins, in combination with experimental facts on the structures of the packaged genomes. Based on statistical mechanics arguments and computer simulations, we have derived a universal model, based simply on non-specific electrostatic interactions. Our model is able to predict the essential aspects of genome packing in diversely different viruses, such as the genome size and its density distribution. Our result is in contrast to the long-held view that specific interactions between the sequenced amino acid residues and the nucleotides of the genome control the genome packing. Implications of this finding in the evolution and biotechnology will be discussed. [Preview Abstract] |
Session Q3: Simple Views on Polymer Dynamics: Symposium Honoring P G de Gennes
Sponsoring Units: DPOLYChair: A. Grosberg, University of Minnesota
Room: Morial Convention Center RO2 - RO3
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q3.00001: Quasielastic scattering -- theory and experiment hand in hand Invited Speaker: In his early career de Gennes worked with colleagues at the CEA Saclay and was familiar with the new possibilities offered for studying materials using neutron scattering techniques. He published a number of papers in this field, two of the most influential being in the field of polymer dynamics where he developed theoretical descriptions of quasi-elastic scattering from single polymer chains in solution. The first results were based on the Rouse model of a polymer chain with no dynamic interaction with the solvent. The second paper appearing a few years later extended the theory to take account of hydrodynamic interactions with the solvent (the so-called Zimm model). These papers appeared at the time when high resolution quasi-elastic scattering techniques were being developed at a number of neutron sources and were influential in driving some of the first experimental investigations of polymer dynamics using neutrons. As dynamic light scattering developed, particularly from large biological molecules the theory was also applied here. The subsequent development of the reptation model for polymer molecules in the dense phase, and the publication by de Gennes of the scattering law expected from a reptating chain also coincided with developments in experimental techniques, in particular the neutron spin-echo technique. This technique allowed the scattering from single polymer molecules in dense phases to be observed and provided some of the first direct experimental tests of the reptation model. Quasielastic scattering and particularly neutron spin-echo techniques have been continually developing in subsequent decades, and both local side group dynamics and main chain motion have been investigated in detail, as well as collective motions in these glass forming materials. Interpretation of the data has been considerably advanced by the parallel development of modelling, particularly molecular dynamic simulations. New neutron sources with even higher fluxes currently being commissioned include QENS in their portfolio of instruments so that we can anticipate further experimental investigation of polymer dynamics, to compare to ever more sophisticated modelling. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:27PM |
Q3.00002: The Coil-Stretch Transition after more than 30 years Invited Speaker: From the seminal paper of DeGennes (1974), there has been a long debate over the nature of polymer dynamics surrounding the ``critical point'' in flow strength where local fluid line extension rates (and the resulting drag created on a linear polymer) dominate over the entropic restoring force -- the so-called coil-stretch transition. This debate has now essentially ended as a result of recent single molecule experiments and large scale molecular simulations which demonstrate that, for steady extensional flow, the basic tenets DeGennes put forth were correct, albeit with certain details which DeGennes did not foresee. However, for flows which are either not steady or which contain significant vorticity, there are qualitatively different features which have now been suggested and remain essential to understand. For example, molecular simulations of planar mixed flows demonstrate that conformational fluctuations play a key role in the coil-stretch transition especially for flows that are ``near shear''. Finally, the application of the DeGennes' ideas to turbulent drag reduction are far from clear, and new large scale molecular simulations have shed some light on polymer dynamics in this important application. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 1:03PM |
Q3.00003: Dynamics of Polymer Solutions Invited Speaker: |
Wednesday, March 12, 2008 1:03PM - 1:39PM |
Q3.00004: Dynamics of Entangled Polymers Invited Speaker: The effect of entanglements on polymer dynamics is one of the most interesting and most challenging areas of polymer physics. In 1967 Edwards introduced the confining tube model for polymer networks in which the effect of permanent entanglements from surrounding chains on a given one was replaced by the confining tube potential. In 1971 de Gennes proposed reptation model, suggesting that entangled chains diffuse along their confining tubes. This ingenious idea provided a simple explanation for strong molecular weight dependence of relaxation time and self-diffusion coefficient of entangled linear polymers. In 1975 de Gennes put forward the concept of constraint release that takes into account modifications of the confining tube caused by the motion of surrounding chains forming this tube. In the same paper de Gennes showed that dynamics of entangled branched polymers, such as stars, is qualitatively different from that of entangled linear polymers. Branch points do not allow these molecules to reptate along their confining tubes, as linear molecules do, but instead stars can only relax and move by a highly entropically unfavorable process of arm retraction. I will describe the development and modification of ideas put forward in de Gennes' original models of dynamics of entangled linear and branched polymers. Some details of de Gennes' constraint release model were modified and the concept of tube dilation was introduced couple of years later. For the following quarter of century scientists are debating whether constraint release or tube dilation is the dominant mechanism for relaxation of branched and linear polymers. The shape of the entropic potential for the arm retraction mechanism of branched polymers proposed by de Gennes was modified by Doi and Kuzuu in 1980. This potential is acting along the primitive path -- the axis of the confining tube, and the resulting relaxation time of the branched polymers strongly depends on the precise definition of this primitive path. Unfortunately, the primitive path is still not clearly defined by any of the existing models and scientists are still arguing which definition is better. I will describe these and other challenges and open questions remaining in the field of entangled polymer dynamics. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 2:15PM |
Q3.00005: Interdiffusion and disentanglement of polymer brushes Invited Speaker: In the spirit of this symposium, I will briefly survey some of the main ideas on interdiffusion and interfacial relaxation put forward by de Gennes, then consider some recent experimental developments. A very attractive feature of the central tenets of polymer physics introduced by de Gennes is their versatility in different physical situations, so that a discussion of, for example, friction, will in a natural way involve reptation. A particular case in point concerns the relaxation of interdiffused, compressed polymer brushes undergoing shear, as when they are used as lubricating layers. Here the useful concept is, unexpectedly, that of relaxation of entangled star-branched polymers, introduced by de Gennes over 30 years ago for a totally different purpose: The main idea -- and conceptually a beautifully simple one - is that in order for an entangled chain emanating from a fixed branch point to relax, it needs to retract back along its `tube' and dissipate the stress by re-equilibrating in a new configuration. Compression of two polymer brushes, whether in a good solvent or in the melt, creates a model interdiffused interface, whose dynamic properties can be analyzed based on such star-branched relaxation models, and the talk will describe some recent results on these systems. [Preview Abstract] |
Session Q4: Pairing Fluctuations Near the Superconductor-Insulator Transition
Sponsoring Units: DCMPChair: Subir Sachdev, Harvard University
Room: Morial Convention Center 206
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q4.00001: Survival of superconducting correlations across the two-dimensional superconductor-insulator transition: A finite-frequency study Invited Speaker: The complex AC conductivity of thin amorphous InO films was studied as a function of magnetic field through the nominal 2D superconductor-insulator transition. We have resolved a significant finite frequency superfluid stiffness well into the insulating regime, giving direct evidence for superconducting correlations in this insulating state. As these superconducting fluctuations are temperature independent at low temperatures, this also represents the first observations of quantum superconducting fluctuations around an insulating ground-state. A phase diagram is established that includes the superconducting state, a transition to a ``Bose'' insulator and an eventual crossover to a ``Fermi'' insulating state at high fields. We speculate on the consequences of these observations, their impact on our understanding of the insulating state, and its relevance as a prototype for other insulating states of matter that derive from superconductors. \newline \newline [1] R. Crane et al. Phys Rev B 75, 094506 (2007) \newline [2] R. Crane et al. Phys Rev B 75, 184530 (2007) [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:27PM |
Q4.00002: Theory of the Nernst effect near quantum phase transitions in condensed matter, and in dyonic black holes Invited Speaker: We present a general hydrodynamic theory of transport in the vicinity of superfluid-insulator transitions in two spatial dimensions described by ``Lorentz''-invariant quantum critical points. We allow for a weak impurity scattering rate, a magnetic field $B$, and a deviation in the density, $\rho$, from that of the insulator. We show that the frequency-dependent thermal and electric linear response functions, including the Nernst coefficient, are fully determined by a single transport coefficient (a universal electrical conductivity), the impurity scattering rate, and a few thermodynamic state variables. With reasonable estimates for the parameters, our results predict a magnetic field and temperature dependence of the Nernst signal which resembles measurements in the cuprates, including the overall magnitude. Our theory predicts a ``hydrodynamic cyclotron mode'' which could be observable in ultrapure samples. We also discuss exact results for the zero frequency transport co-efficients of a supersymmetric conformal field theory (CFT), which is solvable by the AdS/CFT correspondence, mapping the CFT to a black hole problem in 3+1 dimensional anti-de Sitter space. These exact results are found to be in full agreement with the general predictions of our hydrodynamic analysis. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 1:03PM |
Q4.00003: Observation of the Nernst signal generated by fluctuating Cooper pairs Invited Speaker: Long-range order is destroyed in a superconductor warmed above its critical temperature (T$_{c})$. However, amplitude fluctuations of the superconducting order parameter survive and lead to a number of well-established phenomena such as paraconductivity: an excess of charge conductivity due to the presence of short-lived Cooper pairs in the normal state. According to a theory by Ussishkin, Sondhi and Huse, these pairs generate a transverse thermoelectric (Nernst) signal. In two dimensions, the magnitude of the expected signal depends only on universal constants and the superconducting coherence length, so the theory can be unambiguously tested. In a dirty superconductor, the lifetime of Cooper pairs exceeds the elastic scattering time of normal electrons in a wide temperature range above T$_{c}$ and, consequently, their Nernst reponse dominates the one generated by the normal electrons. We studied the Nernst effect of amorphous superconducting films of Nb$_{0.15}$Si$_{0.85}$ and resolved a Nernst signal, which persists deep inside the normal state. The amplitude of the observed signal is in excellent agreement with the theoretical prediction [1]. \newline \newline [1] A. Pourret, H. Aubin, J. Lesueur, C. A. Marrache-Kikuchi, L. Berg\'e, L. Dumoulin, K. Behnia, Nature Phys. 2, 683 (2006). [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:39PM |
Q4.00004: Nernst effect and diamagnetism in phase fluctuating superconductors Invited Speaker: We investigate the characteristic signatures that arise when superconductivity is destroyed by thermal phase fluctuations induced by a dilute liquid of mobile vortices. We find that the Nernst effect and diamagnetic response differ significantly from Gaussian fluctuations -- in particular, a much sharper decay with temperature is obtained. We predict a rapid onset of Nernst signal at a temperature that tracks the transition temperature Tc, rather than the pairing temperature. We also predict a close quantitative connection with diamagnetism -- the ratio of magnetization to transverse thermoelectric conductivity $\alpha_{xy}$ is proportional to the temperature over a wide range of fields. We interpret Nernst effect measurements on the underdoped cuprates in terms of a dilute vortex liquid over a broad temperature range above Tc [1]. We also introduce a new formalism to study fluctuating superconductivity that deals directly with the vortex variables. This is applied to analyze the effect of vortex properties such as core energy on Nernst effect and diamagnetism. [1] D. Podolsky, S. Raghu and A. Vishwanath, `Nernst Effect and Diamagnetism in Phase Fluctuating Superconductors', Phys. Rev. Lett. 99, 117004 (2007) [Preview Abstract] |
Session Q5: Panel Discussion: How Can Industry Best Support the Innovative Research That It Needs?
Sponsoring Units: AIP APSChair: Robert Doering, Texas Instruments
Room: Morial Convention Center RO1
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q5.00001: Leveraging R\&D Resources via the Joint LLC Model Invited Speaker: Industrial scientific research labs have become increasingly stressed in recent years by a variety of external forces. Both corporations and government funding agencies have shifted their priorities from long-term fundamental research toward projects that have a high probability of shorter-term payoff. Industrial funding has been further stressed by an increasing demand for quarterly results and fierce global competition. Industry leaders are now asking their R\&D labs for ``home runs” and not just a solid base in the physical sciences. The end of the Cold War has also left the US without a declared enemy whose overt intention was to defeat us through a mastery of large-scale weaponry based upon exploitation of fundamental physics. This, when combined with a bona-fide need for technology gap fillers to respond to on-the-ground threats in the current Middle East conflicts, has led to diminished government emphasis on long-term research in the physical sciences. Simultaneously, the global sources of R\&D spending are expanding. The dramatic growth of private equity in the technology development arena has both drawn talent from industry and changed the expectations on researchers. R\&D spending in China, India and many other countries is growing significantly. Thus, in order to become relevant, industry must now keep its finger on the pulse of the hundreds of billions of dollars being invested privately and publicly around the world. HRL Laboratories, LLC in Malibu, California represents a unique and successful new business model for industrial R\&D. HRL was founded by Howard Hughes in 1948 as the Hughes Research Laboratory and for more than four decades was the internal R\&D lab for the Hughes Aircraft Company. After a series of mergers, acquisitions and divestitures over the past 15 years, HRL is now a stand-alone LLC that is owned jointly by General Motors and the Boeing Company. HRL, with a staff of about 300, performs R\&D services for GM and Boeing as well as for government and commercial entities. The central themes to HRL’s business model are innovation, value and leverage. Leverage is key to the company’s success. HRL’s business model has been carefully honed to allow its parent companies to perform proprietary R\&D in certain areas and joint, collaborative R\&D among the LLC members in others. The intellectual property arrangements are skillfully organized so that the LLC Members receive a greater than 4:1 leverage of their research dollars in terms of the IP rights gained. This briefing will describe an overview of the current industrial research environment, HRL’s business model, and challenges to future success. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:27PM |
Q5.00002: The Value of Long Range R\&D in the Information Technology Industry Invited Speaker: Examples from IBM and other IT companies will illustrate how long range physics research can be financially beneficial. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 1:03PM |
Q5.00003: Creating Value with Long Term R\&D: The life science industry Invited Speaker: Agilent Laboratories looks to the future to identify, invest and enable technologies and applications that will nurture the world’s people, environment and economies, and help ensure Agilent’s continuing leadership. Following a brief introduction to Agilent Technologies and Agilent Laboratories, Solomon will discuss how innovation and long-term R\&D are transcending traditional boundaries. Focusing on the life sciences industry, she will discuss current trends in R\&D and the importance of measurement in advancing the industry. She will describe some of the challenges that are disrupting the pharmaceutical industry where significant and sustained investment in R\&D has not translated into large numbers of block-buster therapeutics. Much of this gap results from the profound complexity of biological systems. New discoveries quickly generate new questions, which in turn drive more research and necessitate new business models. Solomon will highlight examples of Agilent’s long-range R\&D in life sciences, emphasizing the importance of physics. She’ll conclude with the importance of creating sustainable value with R\&D. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:39PM |
Q5.00004: TBD Invited Speaker: |
Wednesday, March 12, 2008 1:39PM - 2:15PM |
Q5.00005: Panel Discussion: How can industry best support the innovative research that it needs? Abstract APS Four industrial physics leaders will discuss the future and value of innovative research in an industrial setting, and will share perspectives on how their companies address the challenge of supporting research operations. The speakers come from varied research settings and represent a cross-section of and scientific fields including bio-physics/life sciences, materials processing equipment, information technologies and electronics. This session includes an interactive panel discussion on overcoming the challenges and the circumstances confronting industrial R\&D operations. [Preview Abstract] |
Session Q6: Artificial and Tunable Realizations of Spin Systems
Sponsoring Units: GMAGChair: Roderich Moessner, Max Planck Institute for the Physics of Complex Systems
Room: Morial Convention Center RO4
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q6.00001: Frustration in a patterned array of nanoscale ferromagnetic islands: Artificial Spin Ice Invited Speaker: Geometrical frustration among spins in magnetic materials can lead to exotic low temperature states including ``spin ice'', in which the local moments mimic the frustration of hydrogen ion positions in frozen water.~ Our group has performed extensive studies of spin ice materials, and we have developed and studied an \textit{artificial geometrically frustrated magnet} which shares many of the properties of the spin ice materials.~ This artificial frustrated system is an array of lithographically fabricated single-domain ferromagnetic islands.~ The islands are arranged such that the dipolar interactions between them are analogous to those in spin ice.~ Images of the magnetic moments of individual elements in this correlated system allow us to study the local accommodation of frustration.~ We see both ice-like short range correlations and an absence of long range correlations, behavior which is very similar to the low temperature state of spin ice.~ We have extended these studies to include theoretical analysis of the disordered state of moments. We have also used these arrays to analyze the process of demagnetization, which is necessary to access low energy collective states in our arrays and in many other magnetic systems. Our results shed light on the nature of frustration in patterned arrays and correspondingly demonstrate that artificial frustrated magnets can provide a rich new arena in which to study the physics of frustration.~~ References:~ R. F. Wang\textit{ ~et al.} (Nature 2006 and Journal of Applied Physics 2007); C. Nisoli \textit{et al.} (Physical Review Letters 2007). [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:27PM |
Q6.00002: Artificial Kagome Spin Ice Invited Speaker: Recently, significant interest has emerged in fabricated systems that mimic the behavior of geometrically-frustrated materials. Here, I will present the full realization of such an artificial spin ice system on a two-dimensional kagome lattice, and I will present results obtained by directly counting individual pseudospins, demonstrating rigid adherence to the local ice rule. This adherence is maintained even when the lattice is randomized through a rigorous demagnetization process. The resulting spin configurations show not only local ice rules and long-range disorder, but also correlations consistent with spin ice Monte Carlo calculations. Deviations in the correlation values suggest that dipolar corrections are significant in this system, as in pyrochlore spin ice. Because the pseudospins can be observed directly, the system also presents new routes for determining the entropy of such frustrated systems by direct observation, without heat-capacity background subtraction. I will also present the unique behavior of the system during magnetic reversal cycles, showing avalanche-like phenomena. Because of the simplicity of the structure and the robustness of its behavior, it serves as an ideal system for studying frustration in general, including the possible influences of controllable lattice imperfections. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 1:03PM |
Q6.00003: Thermodynamics and dynamics of artificial square ice and related dipolar nanoarrays Invited Speaker: Spin ice is a geometrically frustrated magnetic phase which has attracted much attention since the discovery of rare earth pyrochlores reproducing the zero-point entropy of ice found by Pauling in the 1930's. Square ice is a two-dimensional analogue of this phase, sharing its algebraic correlations and finite entropy at zero temperature, as well as connections to exact solutions, quantum magnetism, unusual quasiparticles such as magnetic monopoles, exotic dynamics and gauge theories. Experimental realizations of two-dimensional magnetic systems could recently be achieved using lithographic fabrication techniques and local magnetic probes to detect and manipulate individual magnetic degrees of freedom [1]. We study the frustrated dipolar arrays recently manufactured by Wang {\em et al.} [1] in order to realize the square ice model in an artificial structure. In particular, we discuss models for thermodynamics and dynamics of this system [2]. We show that an ice regime can be stabilized by small changes in the array geometry; a different magnetic state, kagome ice, can similarly be constructed. At low temperatures, the square ice regime is terminated by a thermodynamic ordering transition, which can be chosen to be ferro- or antiferromagnetic. We argue that the arrays do not fully equilibrate experimentally, and identify a likely dynamical bottleneck.\\[0pt] [1] Wang {\em et al.}, Nature {\bf 439}, 303 (2006).\\[0pt] [2] G. M\"oller and R. Moessner, Phys. Rev. Lett. {\bf 96}, 237202 (2006). [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:39PM |
Q6.00004: Realizing Colloidal Artificial Ice on Arrays of Optical Traps Invited Speaker: In certain spin models, the geometric spin arrangements frustrate the system since not all of the nearest neighbor spin interaction energies can be minimized simultaneously. A classic example of this is the spin ice system, named after the similarity between magnetic ordering on a pyrochlore lattice and proton ordering in water ice. Spin ice behavior has been observed in magnetic materials such as Ho$_2$Ti$_2$O$_7$, where the magnetic rare-earth ions form a lattice of corner-sharing tetrahedra. The spin-spin interaction energy in such a system can be minimized locally when two spins in each tedrahedron point inward and two point outward, leading to exotic disordered states. There are several open issues in these systems, such as whether long range interactions order the system, or whether the true ground state of spin ice is ordered. We demonstrate how a colloidal version of artificial ice and other frustrated configurations can be realized using charged colloidal particles in arrays of elongated optical traps. Using numerical simulations, we show that this system obeys the ice rules of two-spins-in, two-spins-out at each vertex. We find a transition between a random configuration and a long-range ordered ground state as a function of colloid charge, trap size, and screening length. We show that both the ice rule ordering and a thermally-induced order-disorder transition can occur for systems with as few as 24 traps and that the ordering transition can be observed at constant temperature by varying the barrier strength of the traps. This system can also be used to explore various other types of ordered and frustrated systems with different lattice geometries, such as a honeycomb lattice which prevents the formation of a long-range ordered ground state. Similar effects should occur for vortices in type-II superconductors interacting with elongated arrays of blind holes. Experimental versions of frustrated colloidal systems could allow for direct visualization of the dynamics associated with frustrated spin systems, such as deconfined or confined spin arrangements, as well as spin dynamics at melting transitions. $^1$ A. Lib{\' a}l, C. Reichhardt, and C.J. Olson Reichhardt, Phys. Rev. Lett. 97, 228302 (2006). [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 2:15PM |
Q6.00005: Ultracold atomic gases in optical lattices: mimicking condensed matter and beyond Invited Speaker: I will present a short review of the newest developments of physics of ultracold atomic gases in optical lattices. After a short introduction about possibilities offered by such systems I will describe recent progress in physics of ultracold dipolar gases (generation and engineering of metastable states), ultracold disordered gases (interplay disorder-interactions, random field induced order), and ultracold gases inside an optical resonator (overlapping Mott zones). I will comment on challenging open questions concerning preparation, manipulation and detection of such systems, as well as possible applications in quantum information and precision metrology. [Preview Abstract] |
Session Q7: Undergraduate Nanotechnology and Materials Physics Education II
Sponsoring Units: FEdChair: Peter Collings, Swarthmore College
Room: Morial Convention Center RO5
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q7.00001: The Role of Engineering Design in Materials Science and Engineering Curricula Invited Speaker: Undergraduate materials engineering curricula diverge from materials science curricula in two important ways. An underlying requirement is to prepare the graduates for industrial positions, so they need a good grounding in processing and statistical methods, as well as a strong set of hands-on skills in materials characterization and metrology. The other distinguishing feature of an engineering education is the focus on design rather than research. In the case of materials science and engineering, the design deliverable is often a process design, a materials selection, or a failure analysis. Some of the features of education for design include the exercise of thinking about the customer's needs, functional requirements of the product, the cost of production, and the broader context of the design project in society. These ideas can be integrated or at least introduced early in the curriculum and in many different types of courses. Materials Science and Engineering programs have the dual requirement of educating both future scientists and future engineers. Graduating baccalaureate students need to be ready for engineering practice, yet many also are being readied for graduate study and research. One aspect of this ambiguity is that \textit{research} and \textit{design} activities are not always as clearly differentiated as they are in other engineering programs. How can one undergraduate curriculum be successful at both? One key distinguishing element in engineering practice is \textit{engineering design}. Design activities occur in many aspects of the profession and may be practiced by both scientists and engineers; however it is engineering curricula, not science curricula, that tend to explicitly focus on developing the skills and methods of design practice in students. Accredited programs within colleges of engineering are required to emphasize engineering practice and design, while still providing the necessary conceptual development of the underlying science. Current practices and emerging ideas concerned with these aspects of materials education will be presented in this talk. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:27PM |
Q7.00002: What Quantum Dots Can Do for You Invited Speaker: Recent clever techniques for fabricating nanosize materials, one-atomic-layer-at-a-time, have simultaneously opened a door to a fantastic adventure at the frontier of physics, chemistry, biology, and engineering. Nanosize materials simply do not behave as the bulk. Indeed, the rules that govern the growth and behavior of these tiny structures are unexplored. In this talk we will discuss our recent efforts to be the architect of their shape, size, density, and position of nanostructures and along the way, the interactions between them that lead to their optical and electrical behavior. While self-assembly is providing exciting quantum dot (QD) structures to explore, like the QD molecules shown here, it is equally exciting to try to use the rules we uncover to encourage QD formation to take a desired path. Can we understand the formation of faceted nanostructures? Can we encourage or seed dot structures to form specific arrays? Is it possible to engineer greater homogeneity of dot shape and size? Can we design both the optical and electrical behavior of either individual or arrays of nanostructures to mimic those we find in nature? In this talk we will review our progress to answer these questions and discuss the possibilities and challenges ahead. For example, we will discuss the formation of individual faceted nanostructures as well as the fabrication of a vertically and laterally ordered QD stacks forming three-dimensional QD arrays. As another example, we will discuss the importance of surfaces with high Miller indices, as a template to the formation of nanostructures as well as their potential role in determining the shape and increased size uniformity of the confined structures. Importantly, these observations lead to an even more basic question of when and why high index surfaces are stable. Indeed, we have found that in order to understand the origin of high index surfaces that bound nanostructures we have to study them directly. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 1:03PM |
Q7.00003: An Interdisciplinary Program in Materials Science at James Madison University. Invited Speaker: Over the past decade a core group of faculty at James Madison University has created an interdisciplinary program in materials science that provides our students with unique courses and research experiences that augment the existing, high-quality majors in physics and astronomy, chemistry and biochemistry, geology and environmental science, mathematics and statistics, and integrated science and technology. The university started this program by creating a Center for Materials Science whose budget is directly allocated by the provost. This source of funds acts as seed money for research, support for students, and a motivating factor for each of the academic units to support the participation of their faculty in the program. Courses were created at the introductory and intermediate level that are cross-listed by the departments to encourage students to enroll in them as electives toward their majors. Furthermore, the students are encouraged to participate in undergraduate research in materials since this is the most fundamental unifying theme across the disciplines. This talk will cover some of the curricular innovations that went into the design of the program to make it successful, examples of faculty and student research and how that feeds back into the classroom, and success stories of the interactions that have developed between departments because of this program. Student outcomes and future plans to improve the program will also be discussed. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:39PM |
Q7.00004: Use of clickers and sustainable reform in upper-division physics courses Invited Speaker: At the University of Colorado at Boulder, successful reforms of our freshmen and sophomore-level physics courses are now being extended to upper-division courses, including Mechanics, Math Methods, QM, E{\&}M, and Thermal Physics. Our course reforms include clicker questions (ConcepTests) in lecture, peer instruction, and an added emphasis on conceptual understanding and qualitative reasoning on homework assignments and exams. Student feedback has been strongly positive, and I will argue that such conceptual training improves rather than dilutes, traditional, computationally-intensive problem-solving skills. In order for these reforms to be sustainable, reform efforts must begin with department-wide consensus and agreed-upon measures of success. I will discuss the design of good clicker questions and effective incorporation into upper-level courses, including examples from materials science. Condensed matter physics, which by nature involve intelligent use of approximation, particularly lends itself to conceptual training. I will demonstrate the use of a clicker system (made by iClicker) with audience-participation questions. Come prepared to think and interact, rather than just sit there! [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 2:15PM |
Q7.00005: Thinking like a physicist: Condensed Matter and Materials Physics in the \textit{Paradigms in Physics} Curriculum at Oregon State University Invited Speaker: The Paradigms in Physics Program at Oregon State University organizes the upper-division undergraduate physics curriculum to blur traditional subdisciplinary boundaries and makes use of many interactive pedagogic techniques. Condensed matter physics and materials science content appear in many places in the early curriculum, culminating in a capstone course in solid state physics where students calculate band structure of real materials related to their research projects. A mix of analytic, computational, and research approcahes are employed to include, for example, traditional topics like doping in semiconductors and modern topics like carbon nanotubes. [Preview Abstract] |
Session Q8: Colloidal Phase Behavior
Sponsoring Units: DFDChair: Jerzy Blawzdziewicz, Yale University
Room: Morial Convention Center RO6
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q8.00001: Studies of colloids on spherical interfaces using digital holographic microscopy Jerome Fung, Ryan J. McGorty, Vinothan N. Manoharan Colloidal particles pinned to the surface of an oil droplet in water form robust equilibrium structures at low area fractions. To better understand the interactions in this system, we are studying these structures and their dynamics during quasistatic changes in the area fraction. We do so by imaging the 3D structures with fast temporal resolution using digital holographic microscopy (DHM). To keep the particles in non-density matched colloidal samples in the field of view, we have constructed a new apparatus to perform DHM under time-averaged zero gravity using a rotating stage. In DHM, we illuminate a sample with a laser beam and then magnify and digitally record the interference patterns between the scattered and unscattered light. Subsequent numerical reconstruction of the recorded 2D holograms allows 3D particle tracking with millisecond time resolution and submicron spatial resolution. [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q8.00002: Confinement Finds a Length Scale for the Colloidal Glass Transition Kazem Edmond, Eric R. Weeks We study a colloidal suspension confined between two parallel walls as a model system for glass transitions in confined geometries. We use confocal microscopy to directly observe the motion of the colloidal particles, which are slower when confined. This slower motion produces glassy behavior in a sample that is liquid-like when not confined. Our results, from a range of volume fractions, demonstrate that the maximum thickness where confinement is effective defines a length scale for a given particle volume fraction. The length scale increases as the glass transition is approached. We observe that near the glass transition particle motion is strongly spatially correlated. We investigate the relationship between the length scales of these correlations and the established confinement length scale. [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q8.00003: Dislocation nucleation and motion observed in a 2D Yukawa triangular lattice V. Nosenko, S. Zhdanov, G. Morfill Dislocation nucleation and motion were studied experimentally in a 2D Yukawa triangular lattice. Edge dislocations were created in pairs in lattice locations where the internal shear stress exceeded a threshold and then moved apart in the glide plane at a speed higher than the sound speed of shear waves. The early stage of this process is identified as a stacking fault. At a later stage, supersonically moving dislocations generated shear-wave Mach cones. The experimental system, a plasma crystal, allowed observation of this process at an atomistic (kinetic) level. We used a monolayer suspension of microspheres in a plasma, i.e., a complex plasma, which is like a colloidal suspension, but with an extremely low volume fraction and a partially-ionized rarefied gas instead of solvent. At our experimental conditions, the suspension forms a highly ordered 2D triangular lattice. Dislocations were generated in this lattice due to the shear introduced by its differential rotation, with two ``rigid'' domain walls imbedded in it. We used digital video microscopy for direct imaging and particle tracking. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q8.00004: Low-electric-field phase behaviour of Brownian colloidal suspensions in sedimentation equilibrium Amit Agarwal, Ning Li, Anand Yethiraj We study the phase diagram of the suspension of micron-scale fluorescent labeled silica colloids in aqueous suspension as a function of concentration in the presence of a moderate (less than 1 volt per $\mu m$) AC electric field. Confocal microscopy was used to track three-dimensional structure and dynamics of colloidal suspensions in sedimentation equilibrium. We characterize thresholds for field-induced organization in monodisperse colloidal suspensions of two particle diameters using orientational order parameters. We then study structure formation at moderate fields above the field threshold. At concentrations greater than $10\%$, and electric fields much larger than the field threshold measured, the colloidal suspension crystallizes to form a body centered tetragonal structure as has been previously reported. At lower concentrations and moderate fields, we uncover complex structure formation phenomena that include equilibrium cellular structures. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q8.00005: Benchmarks for simulations of colloidal suspensions Tony Ladd There are now a number of methods available to investigate the dynamics of colloidal suspensions; among the most popular are Stokesian dynamics, the lattice-Boltzmann equation, dissipative particle dynamics, and stochastic rotation dynamics. One of the most commonly asked questions is how do the various methods compare in terms of accuracy and computational cost. At present there is no meaningful answer, in part because it is not straightforward to construct clean test calculations and obtain reference solutions to these problems. I will outline some principles that may be helpful in developing a basis for comparison and describe preliminary results obtained with the lattice-Boltzmann method. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q8.00006: Non-equilibrium Crystallization Kinetics of an Induced Transition Observed in a Nano-Colloidal Liquid Crystal-Aerosil Dispersions Dipti Sharma A new transition feature, termed ``Induced Crystallization'' (IC), has been observed in a nano-colloidal liquid crystal (octylcyanobiphenyl, 8CB) and aerosil gel system dependent on silica content. This IC feature exhibits apparent activated kinetics following Arrhenius-like behavior. Temperature scans were performed on heating using a DSC technique at ramp rates from 1 to 20~K/min and the aerosil density varied from 0 to 0.2~g/cc. For the 8CB+sil, a well resolved exothermic peak was found as an additional feature on heating scan before the melting transition, absent in bulk 8CB. As the sil density increases, the observed the enthalpy increases while the effective activation energy decreases for this IC feature, eventually saturating at the highest density studied. This behavior appears consistent with molecular disorder imposed by the surface molecular interaction, inducing slow glassy crystallization of the 8CB liquid crystal. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q8.00007: Phase separation in asymmetric 2D binary hard-sphere mixtures Camilo Guaqueta, Erik Luijten We investigate the phase behavior and structural properties of highly asymmetric binary mixtures of additive hard spheres in two dimensions, using Monte Carlo simulations in both the canonical and restricted Gibbs ensembles. To tackle large diameter ratios between the large and small species we use an efficient geometric cluster algorithm. Results for the pair correlation functions, compressibility, and depletion potentials are presented and compared to theoretical predictions, for diameter ratios from $q=2$ to $q=400$ and over a wide range of packing fractions. We explore and comment on the possibility of a demixing transition at high $q$ and total packing fraction. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q8.00008: Experiments on a two dimensional lattice of charged colloids above a water-oil interface William Irvine, Yael Roichmann, Andrew Hollingsworth, David Grier, Paul Chaikin Charged hydrophobic (PMMA) colloids in an oil phase (cyclohexyl bromide) are attracted, without wetting, by image charge effects to an oil-water interface. The micron size spheres form a monolayer on the interface and interact via screened coulomb interactions to form a crystalline or hexatic lattice, depending on the tunable ratio of lattice spacing to screening length. We study the statics and dynamics of this system in periodic, commensurate, incommensurate, random and quasi-periodic potentials applied by holographic optical tweezers. The use of holographic tweezers allows considerable control over the character and strength of the applied potential. A similar system has been used to study the effects of a curved fluid interface on the particle density and on topological defects. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q8.00009: Nematic Order on Foams Bryan Chen, Randall Kamien We investigate the competition between nematic order and area minimization in nematic foams, in particular, how the structure is affected by the bending of the nematic director, and whether these systems will continue to obey Plateau's laws. We study the minimum energy configurations of the director field on a one parameter family of perturbed Reuleaux tetrahedra with special attention to the location of topological defects. We determine the energy distribution at the Plateau borders versus the film surface and relate the change in structure to changes in elastic constants and surface tension. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q8.00010: Restricted Defect Dynamics in Colloidal Peanut Crystals Sharon Gerbode, Stephanie Lee, Bettina John, Angie Wolfgang, Chekesha Liddell, Fernando Escobedo, Itai Cohen We report that monolayers of hard peanut-shaped colloidal particles consisting of two connected spherical lobes order into a crystalline phase at high area fractions. In this ``lobe-close-packed'' (LCP) crystal, the peanut particle lobes occupy triangular lattice sites, much like close-packed spheres, while the connections between lobe pairs are randomly oriented, uniformly populating the three crystalline directions of the underlying lattice. Using optical microscopy, we directly observe defect nucleation and dynamics in sheared LCP crystals. We find that many particle configurations form obstacles blocking dislocation glide. Consequently, in stark contrast to colloidal monolayers of close-packed spheres, single dislocation pair nucleation is not the only significant energetic barrier to relieving an imposed shear strain. Dislocation propagation beyond such obstructions can proceed only through additional mechanisms such as dislocation reactions. We discuss the implications of such restricted defect mobility for the plasticity of LCP crystals. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q8.00011: Two-dimensional Dimer System Xiaochao Xu, David Pine We report on an experimental study of the two-dimensional phase behavior of colloidal dumbbells (dimers) trapped at a water-air interface. The dimers are made out of $1.6\,\mu {\rm m}$ silica microspheres that are fused together at a point. The water-air interface is very slightly concave so that the dimers are gently compressed by gravity towards the center of interface. The spheres form a stable dense state after a few days. For this dense phase, the location of peaks of both positional and angular pair correlation functions of the dimers reveals that many different orientations and configurations of the dimers are present and this is in agreement with the disorder crystal phase predicted by Monte Carlo Simulation. \footnote{ K. W. Wojciechowski, A. C. Bra\'{n}ka and D. Frenkel, Physica A {\bf 196}, 519 (1993).} We found that there is a relatively long range angular correlation, but the positional correlation is short-ranged. This long range angular correlation is limited by the domain sizes which are determined by the density of the defects in the system. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q8.00012: Observing liquid-gas nucleation in a colloid-polymer solution using digital holographic microscopy Ryan McGorty, Vinothan N. Manoharan We study liquid-gas nucleation in a colloid-polymer solution. Though the colloidal particles are too small to resolve, we are able to observe nucleating droplets due to the refractive index mismatch between the two fluid phases. By using digital holographic microscopy we are able to observe the three-dimensional structure of the nucleating phase. The experimental setup and algorithms for reconstructing the holography data will be discussed. We hope that our data will allow us to better understand nucleation kinetics and that analysis of the fluctuating droplets will provide us with the surface tension between the two phases. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q8.00013: Soft Spheres Make More Mesophases Christian Santangelo, Matthew Glaser, Gregory Grason, Randall Kamien, Andreij Kosmrlj, Primoz Ziherl We use both mean-field methods and numerical simulation to study the phase diagram of classical particles interacting with a hard-core and repulsive, soft shoulder. Despite the purely repulsive interaction, this system displays a remarkable array of aggregate phases arising from the competition between the hard-core and shoulder length scales. In the limit of large shoulder width to core size, we argue that this phase diagram has a number of universal features, and classify the set of repulsive shoulders that lead to aggregation at high density. Surprisingly, the phase sequence and aggregate size adjusts so as to keep almost constant inter-aggregate separation. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q8.00014: Correlations between Dynamical Heterogeneities and Visco-elastic properties of Confined Colloidal Thin Films Prasad Sarangapani, Y. Elaine Zhu Our recent study on confined hard-sphere colloidal suspensions demonstrates that glass transition can be observed `sooner' as film thickness approaches a critical value while volume fraction remains constant. In this talk, we present a new study of the rheological properties of strongly confined colloidal thin films by using a home-designed micro-rheometer interfaced with a confocal microscope. We visualize the shear-induced structural relaxation at a single particle level and measure the rheological properties of confined colloidal thin films between two surfaces at narrow gap spacing ranging from 50 $\mu $m to 1-2 $\mu $m. The application of shear excitation greatly accelerates structural relaxation compared to quiescent colloidal fluids and we visualize particle displacements during the ``bond breakage'' process in strongly confined thin films. Additionally, we characterize their patterns, size and lifetimes under varied shear rates, and correlate their behaviors to the measured visco-elastic and visco-plastic properties of confined colloidal thin films. [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q8.00015: Direct Imaging of the Collapsed Langmuir Monolayers and Multilayer Formation Doseok Kim, Sangjun Seok, Tae Jung Kim, Young Dong Kim, David Vaknin \textit{In-situ} ellipsometry imaging was used to monitor Langmuir monolayer of arachidic-acid spread on water and on CaCl$_{2}$ solution before and after collapse. The Langmuir monolayer was collapsed by compressing it beyond the minimal closely-packed surface molecular area. The ellipsometry image showed clear domains of collapsed regions, and analysis of the image allowed determination of thicknesses of these domains. It was found that the structure of multilayer domain in the collapsed region was bilayer of arachidic acid on the surface of CaCl$_{2}$ solution, while the trilayer was formed on the pure water surface. [Preview Abstract] |
Session Q9: Focus Session: DNA and Biofluid Analysis with Micro and Nanofluidic Devices
Sponsoring Units: DFDChair: Dorian Liepmann, University of California, Berkeley
Room: Morial Convention Center RO7
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q9.00001: Using hydrodynamics to control DNA conformation for genotyping, sorting, and analysis Invited Speaker: Understanding the dynamics of biopolymers in complex flows is critical for the successful design of lab-on-a-chip devices. Work by Chu, Shaqfeh, and others using both Brownian dynamics simulations and direct, single molecule visualization methods have yielded unprecedented insights into DNA dynamics in simple shear, planar extension, and a range of linear mixed flows. Here, we focus on two flows designed to stretch and manipulate DNA conformation for single molecule genotyping and analysis; that is, flows designed to produce specific conformation fields. First, we present results on DNA in pressure-driven flow through a post array, and discuss insights from direct comparisons with Brownian Dynamics simulations by Shaqfeh and co-workers. Second, we consider stagnation point flows and, through the use of sequence-specific probes, demonstrate the potential of these flows for target sequence identification, single molecule studies of enzyme kinetics, and sorting. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q9.00002: Droplet-based microfluidics for high-throughput scanning of a large probe library. Adam Abate, Dave Weitz Droplet-based microfluidics can produce monodisperse picoliter size microreactors at 10 kHz speed. We use this technology to prepare and fuse two drop trains. The drops in one train each contain a unique biochemical probe. The drops in the other train contain a probe target, enzymes, proteins, and other biochemical reagents that are necessary to sufficiently mimic the cellular environment. We synchronize the trains hydrodynamically and use electro-coalescence to perform high-throughput controlled fusion of one of each type of droplet microreactor. Using a multicolor laser excitation and fluorescence polarization detector we monitor each fusion event to observe the state of the probe and extract information about the target. This allows us to scan through a large probe library in a matter of seconds using less than 1$\mu $L or reagent. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q9.00003: Distant-ion dragging of polarizable nanodroplets and solvated DNA on nanotubes Boyang Wang, Petr Kral Long distance Coulombic coupling allows efficient molecular dragging at the nanoscale by moving electrons, ions and molecules [1]. We use molecular dynamics simulations to show that ions intercalated inside semiconducting single-wall carbon nanotubes (SWNT) can be solvated in polarizable nanodroplets adsorbed on the SWNTs, and the coupled systems can be dragged by electric fields [2]. We also demonstrate that solvated single-strand DNA molecules adsorbed on SWNTs can be driven by ionic solutions flowing inside the tubes. These phenomena could be applied in molecular delivery, separation, desalination and be integrated in modern lab-on-a-chip technologies. [1] Boyang Wang and Petr Kral, JACS 128, 15984 (2006). [2] Boyang Wang and Petr Kral, submitted. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q9.00004: Spontaneous and coherent Raman spectroscopy of microfluidic flows Rajan Arora, Georgi Petrov, Vladislav Yakovlev Identifying protein structure and understanding its conformational dynamics are the grand challenges for biomedical science. The advent and most recent progress of microfluidics holds a promise of successfully addressing the major issues of structure determination---protein crystallization---by greatly multiplexing the evaluated number of crystallization conditions and protein dynamics---protein folding---by achieving a microsecond scale mixing. The further success of these approaches will strangely depend on the availability of remote probes capable of non-invasive interrogating the structure of biological molecules. Vibrational spectroscopy offers superior structural and chemical sensitivity, which can be successfully applied for characterizing transitional kinetics in microfluidic channels. In particular Raman and CARS give the molecular fingerprint along with structural information that is not possible with conventional fluorescence measurements. Here we are investigating the potential applicability of spontaneous and coherent Raman spectroscopy for protein folding and crystallization. Under suitable experimental conditions coherent Raman is seen to be 100 times more efficient than conventional Raman. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q9.00005: Detection of Kinase Translocation Using Microfluidic Electroporative Flow Cytometry Chang Lu, Jun Wang, Ning Bao, Leela Paris, Hsiang-Yu Wang, Robert Geahlen Translocation of a protein between different subcellular compartments is a common event during signal transduction in living cells. Detection of these events has been largely carried out based on imaging of a low number of cells and subcellular fractionation/Western blotting. These conventional techniques either lack the high throughput desired for probing an entire cell population or provide only the average behaviors of cell populations without information from single cells. Here we demonstrate a new tool, referred to as microfluidic electroporative flow cytometry, to detect the translocation of an EGFP-tagged tyrosine kinase, Syk, to the plasma membrane in B cells at the level of the cell population. We combine electroporation with flow cytometry and observe the release of intracellular kinase out of the cells during electroporation. We found that the release of the kinase was strongly influenced by its subcellular localization. Cells stimulated through the antigen receptor have a fraction of the kinase at the plasma membrane and retain more kinase after electroporation than do cells without stimulation and translocation. This tool will have utility for kinase-related drug discovery and tumor diagnosis and staging. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q9.00006: DNA/Protein Concentration and Identification by Nano-Channel Electrokinetics Gilad Yossifon, Hsueh-Chia Chang Electric field focusing into charged nano-channels can concentrate and filter charged biological molecules. This transport specificity is further enhanced with sequence or receptor specific DNA probes and antibodies functionalized onto the channel wall or nano-colloids. Our theoretical and experimental studies show, however, the same field-focusing phenomenon can discharge mobile ions from the channel and produce a growing polarized layer outside the channel, both of which can significantly affect the I-V characteristics and molecular migration rate within the channel. Conversely, the presence of trapped molecules or nano-colloids can be sensitively detected with nano-channel impedance spectroscopy due to such field-focusing phenomena. We present several DC and AC electrokinetic techniques for concentrating, filtering and detecting biomolecules in nano-channels based on this principle. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q9.00007: DNA dynamics in sub-persistence length confinement Yeng-Long Chen, Arsen Grigoryan Recent advances in genomic science and microscopy have spurred extensive investigation of the dynamics of double stranded DNA molecules in bulk solution and micron- and nano-scale fluidic channels. On the length scale of the DNA molecule's radius of gyration, classical polymer physics has been extremely successful in predicting the macromolecule's conformation and dynamics. With the availability of sub-100nm channels, it has become possible to study with detail the conformation and dynamics of DNA at the length scale of the DNA persistence length ($\sim$50nm), as well as DNA interactions with other molecules such as proteins. We employ Brownian dynamics simulations to explore DNA dynamics confined in channels of the DNA persistence length scale. The bending and thermal energy, the conformational entropy, and the DNA-surface interactions all contribute to the macromolecular dynamics. We compare our simulation results to the predictions of the Odijk theory for confined polymers, and we find that the confinement strongly affects the chain conformation and dynamics and lead to non-monotonic extensional relaxation. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q9.00008: Learning from the Jersey Turnpike:Cell Lysis, Labeling and Washing with Microfluidic Metamaterials Kevin Loutherback, Keith Morton, David Inglis, Opheli Tsui, James Sturm, Stephen Chou, Robert Austin Directing objects across functional streamlines at low Reynolds number is difficult but important since this motion can be used to label, lyse, and analyze complex biological objects on-chip without cross-contamination. Here we use an asymmeteric post array to move cells across coflowing reagents and show on-chip, immunofluorescent labeling of platelets with washing and \emph{E.Coli} cell lysis with simultaneous separation of bacterial chromosome from the cell contents. Furthermore, we develop the concept of a microfluidic metamaterial by using the basic asymmetric post array as a building block for complex particle handling modes. These modular array elements could be of great use for developing robust techniques for on-chip, continuous flow manipulation and analysis of cells, large bio-particles, and functional beads. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q9.00009: Scaling of Polymer Diffusivity in Confined Colloid-Polymer Systems Amir Amini, Marc Robert We show how the diffusivity of a polymer chain in a colloidal suspension varies with the extent of confinement and the number of segments, as well as with concentration of colloids. These predications are compared with experimental results [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q9.00010: Dynamics of Individual Flexible Biopolymers in a Microvortex Flow Chao-Min Cheng, Philip LeDuc Research in single polymer dynamics has provided exciting insights including increasing the understanding of cellular structures. Additionally, advances in micro-scale technologies such as microfluidics have been widely used for analyzing biological responses at the cellular and molecular levels. We describe observations of the real-time dynamics of individual flexible polymers (fluorescently labeled DNA molecules) under a microvortex environment through a pressure-driven microfluidic approach. This allows us to create a microvortex flow on a single molecule, which can simultaneously be imaged to determine the structural response of the individual molecule. The DNA exhibits distinct conformations and controlled curvatures that are influenced by both extension and bending dynamics, which can be directly correlated to their location within the microvortex. We analyzed the dynamics of these individual molecules and determined the elongation strain rate and the curvature under the pressure-driven flow. Their overall orientation ranges from parallel in the main inlet channel to perpendicular while being deformed within the flow inside of the microvortex. These results provide insights that will be important in numerous areas such as single molecule dynamics and polymer physics. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q9.00011: Microfluidic devices for separation of human blood samples Virginia VanDelinder, Alex Groisman We describe design and operation of microfluidic devices for separation of human blood. The first device separates plasma from the cellular elements of blood using size exclusion in a cross-flow. The device generates 1 uL of high-quality plasma four minutes after loading the blood sample and can operate continuously for at least one hour. The second device separates white bloods cells (WBC) from red blood cells (RBC) using perfusion in a continuous cross-flow. The microfluidic device is tested with a suspension of polystyrene beads and is shown to efficaciously exchange the carrier medium while retaining all beads. The RBC content of the blood sample is reduced about 4000-fold while 98 percent of WBCs are retained with a resultant WBC : RBC ratio of 2.4 at the device outlet. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q9.00012: Cheaters and Cooperators: A Study of Bacterial Conflict on a Chip Guillaume Lambert, Peter Galajda, Juan Keymer, Robert Austin We study the interaction of cheating and cooperating {\it escherichia Coli} metapopulations under selective pressure on chip designed to create a landscape of metabolic pressures. Using micro- and nano-fabrication techniques, we create microfluidic chips with an effective `fitness landscape' for the bacterial cells at the population level in which we can tune their access to spatial and energetic resources. Our custom-made micro habitats allow us to study the local density distribution and subpopulation dynamics of bacterial cells subjected to social pressure. We show that the microscopic collective behavior of the cheaters and cooperators differ greatly depending on the fitness landscape they evolved in. Locally, subpopulations emerge and compete in a `tug-of-war' fashion. Globally, metapopulations rise, fall, evolve and adapt to their hostile environment. [Preview Abstract] |
Session Q10: Superconductivity: Vortex II
Sponsoring Units: DCMPChair: Milind Kunchur, University of South Carolina
Room: Morial Convention Center RO8
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q10.00001: Vortex ratchets from asymmetric weak-pinning channels K. Yu, T.W. Heitmann, C. Song, M.P. DeFeo, B.L.T. Plourde, M.B.S. Hesselberth, P.H. Kes The dynamics of vortex flow in confined geometries can be explored with structured weak-pinning channels of superconducting a-NbGe surrounded by strong-pinning NbN channel edges. The lack of pinning allows the vortices to move through the channels with the dominant interaction determined by the shape of the channel walls. We have fabricated such weak-pinning channels with asymmetric sawtooth edges for controlling the motion of vortices. We present measurements of vortex dynamics in the channels and compare these with similar measurements on a set of channels with uniform width. While the uniform-width channels exhibit a symmetric response for both directions through the channel, the vortex motion through the asymmetric channels is considerably different, with substantial asymmetries in both the static depinning and dynamic flux flow. We report on the rich dependence on magnetic field and driving force amplitude for this vortex ratchet effect. [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q10.00002: Vortex dynamics in a single weak-pinning superconducting channel with a Corbino geometry. T.W. Heitmann, K. Yu, C. Song, M.P. DeFeo, B.L.T. Plourde, M.B.S. Hesselberth, P.H. Kes We report transport measurements of vortex flow dynamics in nanofabricated weak-pinning channels of a-NbGe with strong-pinning NbN channel edges. The channels are arranged in circular patterns on a Corbino disk geometry with a radial bias current, thus eliminating the influence of edge barriers to vortex entry on the dynamics. We have developed a SQUID voltmeter arrangement for resolving the flux flow voltage from a small number of vortices moving through such a single mesoscopic channel. We discuss the configuration of this measurement scheme, along with potential applications of this system for measuring the flow of vortices around single weak-pinning channels free from edge barriers. [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q10.00003: Spontaneous Transverse Voltage and Amplified Switching in Superconductors with Honeycomb Pinning Arrays Cynthia Olson Reichhardt, Charles Reichhardt Using numerical simulations, we show that a novel spontaneous transverse voltage can appear when a longitudinal drive is applied to type-II superconductors with honeycomb pinning arrays in a magnetic field near certain filling fractions. We find a coherent strongly amplified transverse switching effect when an additional transverse ac current is applied, even for very small ac current amplitudes. The transverse ac drive can also be used to control switching in the longitudinal voltage response. We discuss how these effects could be used to create new types of devices such as current effect transistors. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q10.00004: Anisotropic properties of superconducting niobium wire-networks J. Hua, Z.L. Xiao, A. Imre, U. Patel, L.E. Ocola, V. Novosad, U. Welp, W.K. Kwok By utilizing focused ion beam (FIB) patterning technique we were able to fabricate hole-arrays with interhole spacing down to 150 nm into superconducting niobium (Nb) films. This enabled us to have a large temperature range to explore the properties of Nb wire networks in which the superconducting strips between neighboring holes are comparable to the superconducting coherence length. We studied the anisotropy of these superconducting networks by measuring the critical temperatures and magnetoresistances at various magnetic field directions respect to the film surface. The effect of film thickness, hole diameter, interhole-spacing and the symmetry of the hole lattice on the anisotropy will be reported. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q10.00005: Vortex Matter in Magnet --Superconductor Hybrids Igor Lyuksyutov Arrays of magnetic nanowires in alumina template can create highly inhomogeneous and tesla strong magnetic fields on the template surface. We discuss properties of vortex matter created by such magnetic nanostructures and an external field in thin superconducting film on the template surface. This includes possible phase diagrams, pinning properties and critical current. We predict possible types of hysteresis curves and I-V curves in such magnet-superconductor hybrid in a broad temperature range [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q10.00006: Comparing computer simulations to measurements of slow moving vortices in NbSe$_2$ Michael Dreyer, Jonghee Lee, Hui Wang, Barry Barker We observed slow moving vortices in NbSe$_2$ using scanning tunneling microscopy (STM) at a temperature of 4.2 K driven by the slow decay of the magnetic field of our superconducting magnet. The velocity of the vortices depends on the rate of field decay (\~ 0.4 mT/day) and the distance of the STM tip from the center of the sample. In our case the velocities of the vortices are in the range of \~ pm/s allowing for high spatially and (relatively speaking) temporal data series. In order to understand the details of the measurements we wrote a simple 2D simulation for moving vortices in a static potential landscape. The simulation allows for a variety of scenarios such as periodic/fixed boundaries, constant/variable driving force, insertion/extraction of vortices, point/line defects in order to match the behavior in the simulation to the measurement. Although some phenomenas such as similar track patterns and local lattice distortions around point defects have been reproduced, the behavior of the velocity with time, showing aperiodic 'spikes', so far defied explanation. The results of the simulation as well as possible reasons for the velocity vs. time data will be discussed in detail. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q10.00007: Towards ordered flux flow in A15 superconductor V$_{3}$Si at high fields R. Khadka, A.A. Gapud, A.P. Reyes, L. Lumata, P.L. Kuhns, D.K. Christen The motion of flux quanta is observed in a high-quality superconducting single crystal of V$_{3}$Si with weak pinning and significantly reduced thermal fluctuations due to a critical temperature of less than 17 K. This opens up the possibility of approaching ordered, Bardeen-Stephen flux flow (BSFF). The flux flow resistivity \textit{$\rho $}$_{ff}$ associated with dissipative flux motion is observed in V-I curves as a high-current transition to an ohmic curve whose dissipation level is \textit{below} the normal-state level. Details of overcoming technical difficulties of using high currents are described. BSFF is expected to be manifested by a linear dependence of \textit{$\rho$}$_{ff}$ on applied field $H$. Measuring from fields of 6 T up to 20 T, an approach to ohmic curves characteristic of BSFF are clearly distinguishable, along with other interesting features such as the ``peak'' effect in critical current $J_{c}(H)$ seen only when the pinning energy density is comparable to the elasticity of the flux medium. This and further data and their interesting ramifications are discussed. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q10.00008: Time-resolved infrared spectroscopy of superconducting NbTiN film near H$_{c2}$ Jungseek Hwang, Haidong Zhang, David H. Reitze, Christopher J. Stanton, D.B. Tanner, G. Lawrence Carr We use subnanoseconds time-resolved, pump-probe infrared spectroscopy to study vortex dynamics of a conventional superconductor, Nb$_{0.5}$Ti$_{0.5}$N near H$_{c2}$. The measurements were performed at the National Synchrotron Light Source, Brookhaven National Laboratory; Picosecond pulses from a near-infrared Ti:sapphire laser were used as a pump and, subnanosecond pulses of infrared synchrotron radiation as a probe. We report detailed magnetic field dependences of the amplitude of photoinduced quasiparticles and the effective lifetime of the quasiparticles and also discuss vortex dynamics in the system near H$_{c2}$. Near H$_{c2}$, we observe an interesting deviation from the field-independent behavior of the effective lifetime at lower fields. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q10.00009: Imaging the dynamics of single vortices on grain boundaries in YBa$_2$Cu$_3$O$_{6+x}$ thin films B. Kalisky, B. Nowadnick, S. Wenderich, E. Zeldov, J. Kirtley, A. Ariando, H. Hilgenkamp, K. A. Moler We use a scanning Hall probe microscope with single vortex resolution to study the dynamics of single vortices on grain boundaries in YBa$_2$Cu$_3$O$_{6+x}$ thin films with ~10 kHz bandwidth. In the presence of an applied current, we observe individual vortices hopping between pinning sites. Detecting the motion of individual vortices allows us to probe the very- low-voltage regime of the current -voltage ($I-V$) characteristic, at voltage levels of $2\cdot10^{-15}$ V. By scanning the grain boundary we show local $I-V$ curves and investigate the statistical processes at the onset of vortex motion. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q10.00010: Imaging the Vortex Density of States in Bi$_2$Sr$_2$CaCu$_2$O$_{8+x}$ Yi Yin, M. Zech, T. L. Williams, Genda Gu, J. E. Hoffman We use a low temperature scanning tunneling microscope (STM) to image vortices in the high temperature superconductor Bi$_2$Sr$_2$CaCu$_2$O$_{8+x}$, in magnetic fields up to 9T. We locate the vortices via their increased local density of states near $\pm$7 meV. We investigate the dependence of the vortex halo on several parameters, including applied magnetic field and proximity to surface impurities. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q10.00011: Single Vortex Imaging and Shaking in a YBa$_{2}$Cu$_{3}$O$_{6.991}$ Single Crystal Lan Luan, O. M. Auslaender, E. Zeldov, K. A. Moler, D. A. Bonn, Ruixing Liang, W. N. Hardy We image and manipulate individual vortices in a detwinned YBa$_{2}$Cu$_{3}$O$_{6.991}$ single crystal, using magnetic force microscopy (MFM). We observe a strong dynamic asymmetry between the fast and slow directions of the raster imaging pattern, when the MFM tip-vortex force exceeds the depinning force. The vortex can be dislodged hundreds of nanometers along the raster direction, while perpendicular to it, along the slow direction, it can be stretched up to several microns by the same applied force. We attribute this effect to single vortex shaking, in analogy with vortex matter shaking induced by applying an oscillating magnetic field or current. Here, we raster the tip, shaking the top of one vortex to help it explore different configurations, which are determined by the interplay between pinning and elasticity. In this process, elastic energy associated with stretching along the slow direction can be released, thus allowing the vortex to be dragged further. We are currently studying shaking in an underdoped YBa$_{2}$Cu$_{3}$O$_{7-\delta}$ single crystal, where the Josephson coupling along crystal c-axis is much reduced, rendering elasticity weaker. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q10.00012: Tunneling of an extended object in a dissipative environment: suppression of tunneling of vortices caused by a remote gate. Karen Michaeli, Alexander Finkel'stein A strong decrease of the resistance of a superconducting film measured in magnetic field has been observed when a metallic gate is placed above the film.~\footnote{N. Mason, and A. Kapitulnik, Phys. Rev. B. 65, 220505(R) (2002).} We study how the magnetic coupling between vortices in a superconducting film and electrons in a remote unbiased gate affects the tunneling rate of the vortices. We examine two approaches to analyze tunneling in the presence of low-energy degrees of freedom: (i) the response of the electrons inside the metallic gate to a change in the vortex position is described as ``tunneling with dissipation'' (ii) the scattering of the electrons by the magnetic flux of the vortex leads to the Orthogonality Catastrophe that opposes the vortex tunneling. We show that the gate can cause a dramatic suppression of the vortex tunneling restoring the superconducting property in accord with the experiment. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q10.00013: Dynamics of vortices driven by magnetic field changes observed by LT-STM Jonghee Lee, Michael Dreyer, Hui Wang, Barry Barker When changing the magnetic field for a type two superconductor the vortex density has to change accordingly. Vortices have to enter/leave the superconductor to facilitate that change. Since vortex-defect interactions impede the vortex motion such a change does not happen instantaneously. Observing the vortex lattice at a given distance from the center by STM allows to study that behavior. The velocity usually decays close to exponentially. Although the first 'fast' phase (\~ 30 min) is unaccessible to STM due to its limited scan speed the tail section (\~ 5 h) can be studied in detail. We will present analysis of the data and compare it to previous results on slow moving vortices driven by a slowly decaying magnetic field. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q10.00014: h/e-Flux Periodicity in Superconducting Loops Arno Kampf, Florian Loder, Thilo Kopp, Jochen Mannhart, Christof Schneider, Yuri Barash We apply the BCS theory to superconducting rings with unconventional order parameter symmetries. An external magnetic flux changes the character of the states in the condensate; as a consequence the energy of the superconducting ground state varies with a flux period of h/e. This h/e periodicity is caused by the flux-induced reconstruction of the supercurrent carrying condensate. [Preview Abstract] |
Session Q11: Focus Session: Hybrid Magnetic-Superconducting Systems II
Sponsoring Units: DMPChair: Anand Bhattacharya, Argonne National Laboratory
Room: Morial Convention Center RO9
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q11.00001: Proximity Effects and crossed Andreev reflections in Perovskite Superconductor-Ferromagnet Bilayers Invited Speaker: Since the ferromagnetic side of a superconductor-ferromagnet (S-F) junction is spin polarized, Andreev reflections are suppressed. Consequently, the induced S order parameter in the F is expected to decay rapidly, on a nm scale. Our scanning tunneling spectroscopy measurements of thin epitaxial (100)YBa$_{2}$Cu$_{3}$O$_{7-\delta }$-SrRuO$_{3}$ (YBCO-SRO, S-F) bilayers indeed show that on most of the junction area the S order parameter vanishes in the SRO over a distance less than 8 nm. However, we find localized regions, arranged along narrow strips, where a superconductor-like gap penetrates the SRO more than 25 nm. This is attributed to ``crossed Andreev reflections" taking place at domain boundaries, where an electron from one magnetic domain is retro reflected as a hole with opposite spin in an adjacent domain. Another intriguing finding was the reduction in the F ordering temperature of the SRO layer when T$_{Curie}$ was in the pseudogap temperature regime of the (underdoped) YBCO film. This can be due to injection of correlated electron pairs into the SRO, thus supporting the preformed pairs scenario for the pseudogap. With that regard, I will discuss T$_{c}$ enhancement in cuprate bilayers. We have also studied (110)YBCO-SRO bilayers, where, surprisingly, a clear penetration of the Andreev bound states (residing on the (110) YBCO surface) into the F layer was revealed. The penetration is manifested in the density of states as a split zero bias conductance peak with an imbalance between peak heights. Our data indicate that the splitting occurs at the S side, possibly as a consequence of induced magnetization, consistent with recent theoretical predictions. The imbalance is attributed to the spin polarization in SRO. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q11.00002: Scanning Tunneling Spectroscopy Study of Bi-Layer La$_{0.7}$Ca$_{0.3}$MnO$_3$/YBa$_2$Cu$_3$O$_{7-\delta}$ Thin Films I. Fridman, P. Morales, J.Y.T. Wei Recent experiments have reported long-range proximity effect in ferromagnet/superconductor (F/S) heterostructures comprised of transition-metal perovskites. To look for direct evidence of this effect, we have performed scanning tunneling spectroscopy (STS) on La$_{0.7}$Ca$_{0.3}$MnO$_3$/YBa$_2$Cu$_3$O$_{7-\delta}$ (LCMO/YBCO) bi-layer thin films. The bi-layer films were epitaxially grown on $<$001$>$ SrTiO$_{3}$ substrates using pulsed laser deposition. STS measurements were made at 4.2 K on bi-layer films with varying LCMO thickness ($\sim$20 to 60 nm) and in a magnetic field applied parallel to the film. The STS data were analyzed for spectral signatures of a pairing gap on the LCMO layer induced by the YBCO layer, to determine the length scale of the F/S proximity effect and the role played by magnetic domain walls. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q11.00003: Anomalous Sub-Gap features in Nb/Ni Tunneling DOS Paul SanGiorgio, Malcolm Beasley, Junhyung Kwon, Kookrin Char We report the existence of anomalous sub-gap features in tunneling DOS measurements of Superconductor/Strong Ferromagnet bilayers. By measuring the DOS as a function of ferromagnet thickness, $d_F$, we are able to document the spatial evolution of the Cooper pairs diffusing into the ferromagnet. This technique proves to be much less sensitive to variations in boundary conditions and provides more detailed information per sample than traditional $T_c$ or $J_c$ measurements. In addition to the sub-gap features, we find that the DOS shows the usual peaks at $\pm\Delta_0$, which ``invert'' at $d_F = 3.5$~nm. We analyze the results with the fully non-linear Usadel equation, including the effects of various scattering mechanisms and spin-dependent boundary conditions. We are able to quantitatively account for the features at $\pm\Delta_0$ through the addition of spin-orbit scattering in the F-layer, but we find that no known parameter recreates the sub-gap features. By examining the behavior of the sub-gap in magnetic field, we propose that it is a signature of a long-range triplet condensate. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q11.00004: Spin Polarization And Inelastic Scattering In High And Low Transparency Ferromagnet/Superconductor Point Contacts Paul J. Dolan, Jr., Charles W. Smith For point contact spectroscopy studies of ferromagnet/superconductor charge transport, the effects of spin polarization and inelastic scattering can dominate conductance data. Model calculations show that accurate values of the spin polarization parameter can most easily be determined in high transparency contacts (the ballistic limit) when inelastic scattering is low, and in low transparency contacts (the tunneling limit) when inelastic scattering is high. Comparison with data for both cases will be shown. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q11.00005: Electrical properties of interfaces in high-T$_{c}$ superconducting heterostructures Maarten van Zalk, Jos Boschker, Menno Veldhorst, Alexander Brinkman, Hans Hilgenkamp Electrical contacts between materials of different functionality are often required, both for technological applications as well as for fundamental research. However, contacts between different complex oxides frequently do not behave like expected. For example, it is well known that tunnel junctions are difficult to fabricate from high-T$_{c}$ superconductors, due to the presence of a degraded, non-superconducting layer underneath the tunnel barrier. We investigated the interface properties of a number of different oxide heterostructures, prepared by pulsed laser deposition. Specifically, the causes of non-ideal interface behavior, such as changes in the stoichiometry, oxygen deficiency, structural changes and electronic reconstruction, were investigated. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q11.00006: All-oxide inverse superconducting spin switch Jacobo Santamaria, Norbert Nemes, Cristina Visani, Javier Garcia-Barriocanal, Zouhair Sefrioui, Diego Arias, Carlos Leon, Mar Garcia-Hernandez, Suzanne te Velthuis, Axel Hoffmann In proximity coupled ferromagnet/superconductor/ferromagnet (F/S/F) structures the critical temperature is modulated by the relative orientation of the magnetization in the F layers (superconducting spin switch- SSS-). A larger T$_{c}$ with antiparallel (AP) compared to parallel (P) magnetizations results from the averaging of the exchange field over the coherent volume. Recent reports have shown an SSS behavior in F/S/F structures with strong ferromagnets, where superconductivity is favored for P orientation of the adjacent magnetizations. Its origin is a subject of debate. While some reports suggest enhanced pair breaking by spin-polarized quasiparticles in the AP configurations, others emphasize the effect of stray fields in depressing the superconductivity. Comparing data of bilayers and trilayers, we show that the SSS effect of our epitaxial LCMO/YBCO/LCMO structures is governed by spin transport with limited influence of stray fields. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q11.00007: Covalent Bonding and Orbital Reconstruction at an Oxide Interface. Jak Chakhalian, John Freeland, Hans-Ulrich Habermeier, Georg Cristiani, G. Khaliullin, Michel van Veenendaal, Bernhard Keimer Atomically controlled interfaces between two materials can give rise to novel physical phenomena and functionalities. Modern synthesis methods have yielded high-quality heterostructures of oxide materials with competing order parameters. Orbital reconstructions and covalent bonding must be considered as important factors in the rational design of oxide heterostructures. Here we examine the interface between high-temperature superconducting (Y,Ca)Ba2Cu3O7 and metallic La2/3Ca1/3MnO3 by resonant x-ray spectroscopy. The resulting data show that electrons are transferred from Mn to Cu ions across the interface. This phenomenon is accompanied by the major reconstruction of the orbital occupation and symmetry in the CuO2 plane. Specifically, we report the experimental finding that unlike bulk at the interface Cu d3z2-r2 orbital is partially occupied and electronically active. This observation opens a path to orbital engineering of interface-controlled materials. J. Chakhalian et al, ``Covalent Bonding and Orbital Reconstruction at an Oxide Interface'', Science, v. 318, 1155 (2007). [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q11.00008: Spin-triplet correlations in clean superconductor-ferromagnet multilayers Zoran Radovic, Zorica Pajovic, Milos Bozovic, Jerome Cayssol, Alexander Buzdin We study transport phenomena in clean superconductor-ferromagnet (S-F) multilayers for a general case of arbitrary relative orientation of in-plane magnetizations and interface transparencies. The scattering problem based on the Bogoliubov-de Gennes equation is solved, taking into account both spin-singlet and spin-triplet superconducting correlations in two geometries, FSF and SFFS. We find a monotonic dependence of conductance spectra on the angle of misorientation of magnetizations $\alpha $ as their alignment is changed from parallel to antiparallel in FSF. Moreover, the critical Josephson current in SFFS multilayers is also a monotonic function of $\alpha $ when the junction is far enough from 0-$\pi $ transitions. In contrast to the diffusive case, no substantial impact of long--range spin--triplet superconducting correlations neither on conductance nor on the Josephson current has been found in the clean limit. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q11.00009: Properties of Hybrid Superconductor/Half-metal Systems Matthias Eschrig, Tomas Lofwander Interfaces between materials that exhibit different types of order present unique opportunities to study fundamental problems in physics. One example is the interface between a singlet superconductor and a half-metallic ferromagnet, where Cooper pairing occurs between electrons with opposite spin on one side, while the other displays 100\% spin polarisation. New effects, like spin mixing and spin rotation are characteristic for such interfaces [1], providing a mechanism for conversion between unpolarized and completely spin polarized supercurrents. Our predictions have been confirmed in a recent experiment observing a supercurrent through half-metallic CrO$_2$ [2]. The interface region exhibits a superconducting state of mixed-spin pairs with highly unusual symmetry properties that opens up new perspectives for exotic Josephson devices [3]. We present results for Andreev bound state spectra and for point contact Andreev conductance spectra. The role of disorder and symmetry of the pairing amplitudes on the spectra and the temperature dependence of the Josephson current is elucidated.\\ {\small [1] M. Eschrig et al., Phys. Rev. Lett. {\bf 90}, 137003 (2003).}\\ {\small [2] R.S. Keizer et al., Nature, {\bf 439}, 825 (2006).}\\ {\small [3] M. Eschrig, T. Lofwander, submitted to Nature Physics (2007).} [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q11.00010: Novel Josephson junctions of high-$T_c$ cuprate using magnetic islands: Fabrication and Properties A. Maeda, L. Gomez, S. Kitamura In spite of the potential high performance, no one has succeeded in fabricating good Josephson junctions of high-$T_c$ cuprate superconductors with a large $I_cR_N$ product and textbook like $I-V$ characteristics. This is probably because the fabrications of the oxide barrier layer even on the almost perfect surface of the cuprate causes many unexpected troubles, suggesting that there are still many issues to be solved in the surface science of the cuprate superconductors. We have tried the high-$T_c$ Junction fabrication with a novel method, where we do not need to fabricate oxide barrier layer; A small island of Fe was merely placed on a strip of a cuprate superconductor, LSCO. By measuring $I-V$ characteristics, microwave radiation effect, and magnetic field effect, it turned out that this simple novel structure becomes a typical Josephson junction. What is remarkable is that the structure often exhibits a large $I_cR_N$ value ($\sim$ 10-20 meV), suggesting that our method is promising. Together with various kinds of comparative experiments, we will discuss the mechanism of the weak coupling. [Preview Abstract] |
Session Q12: Focus Session: Hidden Order and Heavy Fermions
Sponsoring Units: DCMPChair: Lia Krusin-Elbaum, IBM T.J. Watson Research Center
Room: Morial Convention Center 203
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q12.00001: Exotic Superconducting State Embedded in the Hidden Order Phase of URu$_2$Si$_2$ Invited Speaker: The heavy-Fermion compound URu$_2$Si$_2$ has mystified researchers since the superconducting state ($T_c$~=~1.5~K) is embedded within the ``hidden order" phase ($T_h$~=~17.5~K). According to several experimental observations, most of the carriers disappear below $T_h$ resulting in a density one order of magnitude smaller than in other heavy-Fermion superconductors. Superconductivity with such a low density is remarkable since the superfluid density is very low in some way reminiscent of underdoped cuprates; the superconductivity by itself is an exceptional case of pairing among heavy electrons with a long Fermi-wavelength in a nearly semimetallic system. Moreover, pressure studies reveal that the superconductivity coexists with the hidden order but is suppressed by antiferromagnetic ordering. Here, we report charge and thermal transport measurements on ultraclean single crystals of URu$_2$Si$_2$ ($RRR\sim700$) down to 30~mK ($T_c$/50), which reveal a number of unprecedented superconducting properties. The uniqueness is best highlighted by field-induced superconductor-insulator-like first-order transition at the upper critical fields, which is a direct consequence of the electronic structure imposed by the hidden order. The results provide strong evidence for a new type of unconventional superconductivity with two distinct gaps having different nodal topology. We propose a gap function with chiral $d$-wave form $ \Delta_{k} \propto k_z (k_x \pm i k_y ) $ [1]. We also report a distinct flux line lattice melting transition with outstanding characters and a formation of the quasiparticle Bloch state in the periodic flux line lattice in this ultraclean system [2]. The intriguing superconducting state of URu$_2$Si$_2$ adds a unique and exciting example to the list of unconventional superconductors.\\ ~ [1]~Y.~Kasahara {\it et al.} Phys. Rev. Lett. {\bf 99}, 116402 (2007). [2]~R.~Okazaki {\it et al.} arXiv:0710.2382 [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q12.00002: Neutron scattering studies of the quantum critical point of CeAuSb2 John Janik, Chris Wiebe, Haidong Zhou, Ben Ueland, Ying Chen, Jeff Lynn, Zachary Fisk, H. Le, Wei Bao, Youn-Jung Jo, Luis Balicas We present neutron scattering results on CeAuSb$_{2}$, a quantum critical material whose critical point in revealed in magnetic field. We find incommensurate ordering, indicative of itinerant electron physics. The incommensurate feature seem to be directly related to metamagnetic transitions. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q12.00003: Magnetic and electrical transport study of single crystal YbAu$_{2}$ H. Ryu, E.D. Mun, S.L. Bud'ko, P.C. Canfield Single crystals of YbAu$_{2}$ grown by flux method are studied by low temperature and high magnetic field measurements of the electrical resistivity and magnetization. For zero field, three magnetic transitions at 1 K, 1.5 K and 2.8 K are observed in the resistivity data, the lower two transitions are suppressed by an applied magnetic field. At low temperatures metamagnetism is observed in the magnetization and magnetoresistance data. Strong anisotropy between the tetragonal ab plane and c-axis, is revealed in both magnetization and transport measurements. The $H-T$ phase diagram for applied field along the \textit{ab} plane is constructed by electrical transport measurements. The anisotropy can be explained with a crystalline electric field (CEF) model. YbAu$_{2}$ can be characterized as Kondo lattice compound with strong CEF splitting. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q12.00004: A Novel Low-Temperature Phase in Strongly Correlated CePd$_{3}$Ga$_{8}$ Qing'An Li, J.F. Mitchell, K.E. Gray, Robin Macaluso The specific heat, C$_{p}$, of CePd$_{3}$Ga$_{8}$ strongly supports the presence of two phase transitions, which are consistent with magnetization data. The 11 K transition (suppressed for fields, B$>$3 T) is also seen in the resistivity, whereas the 6 K transition is not. The resistivity is anisotropic both with respect to field and current directions, while its temperature dependence is similar to UCd$_{11}$ and CeAuSb$_{2}$. Both resistivity and C$_{p}$ are affected by magnetic fields up to about 30 K and possible connections will be discussed. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q12.00005: Is the Low-Temperature Phase in CePd$_{3}$Ga$_{8}$ Fascinating or Just Novel? K.E. Gray, J.F. Mitchell, H. Claus, Qing'An Li, Robin Macaluso Magnetization data for CePd$_{3}$Ga$_{8}$ strongly support the presence of two phase transitions, also seen in specific heat, C$_{p}$. The 11 K transition (suppressed for fields, B$>$3 T) has similarities to UCd$_{11}$ and CeAuSb$_{2}$, but the lower temperature phase (T$<$6 K, B$<$2.5 T) is novel and its nature is a mystery. Its uniaxial magnetic anisotropy implies that CePd$_{3}$Ga$_{8}$ is an effective Ising system for the Ce spins, likewise consistent with C$_{p}$. The phase diagram of this fascinating material will be discussed in terms of combined magnetization, conductivity, C$_{p}$ and neutron scattering data. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q12.00006: Field-induced magnetic phase transition in antiferromagnetic YbPtSi Yuri Janssen, Yuen Yiu, Peter Khalifah, Meigan Aronson We have studied single crystals of the orthorhombic intermetallic compound YbPtSi. Here we present results of anisotropic field-and-temperature dependent magnetization and specific heat. Magnetization isotherms measured between 1.8 K and the ordering temperature of 4.65 K show a sudden anomalous increase in magnetization. This phase transition becomes sharper and takes place at progressively higher fields as temperature decreases. Measurements of field-and-temperature dependent specific heat above 0.4 K confirm this magnetic phase transition above 1.8 K, and allow us to draw anisotropic magnetic phase diagrams down to 0.4 K. These phase diagrams indicate that the magnetic phase transition in YbPtSi can be brought close to zero temperature in relatively modest applied fields, $\sim$ 3.5 T for the hard magnetization direction. The critical line is well described by $T_N(H)/T_N(0) = (1-(H_C(T)/H_C(0))^2)^{0.3}$, very different from quasi-one-dimensional or two-dimensional quantum critical antiferromagnets. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q12.00007: Magnetic Order in single-crystalline YbPtSi Yuen Yiu, Yuri Janssen, Peter Khalifah, Meigan Aronson, Moosung Kim, Marcus Bennett We report the first measurements on YbPtSi, which we synthesized as single crystals by means of flux growth from Indium. Single crystal x-ray diffraction measurements find that the YbPtSi crystal structure is the orthorhombic Co$_{2}$Si-type, with one crystallographic site for Yb. Magnetic susceptibility measurements find Curie-Weiss behavior above 100 K with a moment of 4.35 $\mu _{B}$, close to the 4.54 $\mu _{B}$ expected for trivalent Yb.~ Measurements of the heat capacity find a mean field-like magnetic ordering transition at T=4.65K. DC-susceptibility measurements show substantial single ion anisotropy, but also exhibit a peak at 4.65 K, indicating possible~antiferromagnetic ordering. The electrical resistivity is metallic, and the magnetic ordering is accompanied by a change in slope. The magnetic entropy amounts to only about 65 {\%} of Rln2 expected from an ordering Yb ground state doublet at the ordering temperature, suggesting a possible role for the Kondo effect. Our measurements indicate that YbPtSi is an unusual example of an Yb-based Kondo lattice system, ordering at an unusually high temperature. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q12.00008: Angle resolved photoemission studies of YbRh$_2$(Si$_{1-x}$Ge$_x$)$_2$ S.-K. Mo, K. Tanaka, N. Mannella, C. Capan, D.J. Kim, Z. Fisk, Z. Hussain, Z.-X. Shen YbRh$_2$(Si$_{1-x}$Ge$_x$)$_2$ has attracted a lot of attention as the first Yb-based system with a quantum critical point (QCP) at $x \sim 0.05$. The QCP can be tuned by either magnetic field or chemical substitution, and non Fermi liquid properties have been observed in the vicinity of it. We present high-resolution angle resolved photoemission data on this compound as a function of Ge-doping. The result will be discussed in conjunction with various theoretical proposals on the Fermi surface topology of this material. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q12.00009: High Pressure Studies of CeIn$_{3}$ in High Magnetic Fields Kenneth Purcell, Jason Cooley, Rongwei Hu, Ross McDonald, Cedomir Petrovic, Stanley Tozer High pressure and strong magnetic fields are useful tools for studying the relationship between the antiferromagnetism and unconventional superconductivity observed in the heavy fermion superconductor CeIn$_{3}$.$^{1,2}$ We report high pulsed magnetic field (up to 55 T) pressure studies of single crystal CeIn$_{3}$ utilizing the change in the resonant frequency of a tunnel diode oscillator (TDO) as a contactless means of measuring the skin depth of the crystal. An anomaly in the skin depth was observed at $\sim $42 T and was found to be driven to lower fields with increasing pressure. The relationship of the observed anomaly with the nature of the Fermi surface and the formation of a superconducting state at $\sim $200 mK and 27 kbar will be discussed. 1. N. Harrison et al, preprint arXiv:07062387 2. N. D. Mathur et al, Nature, 394, 39 (1998) [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q12.00010: Effects of Rhenium doping on the high magnetic field versus temperature phase diagram of URu$_{2}$Si$_{2}$ Sonia Francoual, Neil Harrison, Marcello Jaime, Chuck Mielke, Alex Lacerda, Frederik Fabris, Nicholas Butch, Brian Maple Rhenium doping of URu$_{2}$Si$_{2}$ yields a heavy fermion compound U(Ru$_{1-x}$Re$_{x})_{2}$Si$_{2}$ in which the Hidden Order (T$_{N}$ = 17 K) is suppressed at x = 0.05 and ferromagnetism and non Fermi liquid behavior coexist below 10 K from x = 0.15. In the present study, low temperature and high magnetic field resistivity and magnetization measurements are carried out in U(Ru$_{1-x}$Re$_{x})_{2}$Si$_{2}$ single-crystal samples up to x = 0.15 in order to investigate the effects of rhenium doping on the robustness of the multiple ordered phases previously identified in URu$_{2}$Si$_{2}$ around the putative quantum critical point (QCP) at 37 $\pm $ 1 T and the properties of the underlying metamagnetic Fermi liquid as the ferromagnetic region is approached. It is shown that the dilute substitution of Re in place of Ru in URu$_{2}$Si$_{2}$ yields a complete suppression of the ordering at the QCP at x = 0.04 and postpones to higher field the metamagnetic transition in agreement with an increased hybridization of the f electrons with the conduction electrons. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q12.00011: Unconventional Magnetic Scaling Exponents near a $T=0$ Transition in URu$_{2-x}$Re$_{x}$Si$_{2}$ Nicholas P. Butch, Benjamin T. Yukich, M. Brian Maple Substituting Re for Ru in the heavy fermion compound URu$_{2}$Si$_{2}$ suppresses the hidden order and superconducting transitions and gives rise to long range ferromagnetism. From electrical transport, specific heat, and magnetic susceptibility studies of single crystals of URu$_{2-x}$Re$_{x}$Si$_{2}$, $0 \leq x \leq 0.6$, it is apparent that the non-Fermi liquid behavior first observed in polycrystalline samples is indeed a robust phenomenon intrinsic to the bulk material. We present a recent investigation of the magnetization of URu$_{2-x}$Re$_{x}$Si$_{2}$ single crystals, wherein it is demonstrated that a scaled Arrott analysis can be performed to extract scaling exponents describing the magnetic transition from well into the ferromagnetic phase down to its onset. The variation of the exponents with $x$ is discussed within the context of the observed non-Fermi liquid behavior. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q12.00012: The linear and non-linear magnetic susceptibility of $\mathrm{URu_{2}Si_{2}}$ in hydrostatic pressure G.J. MacDougall, G.M. Luke, T. Goko, J.D. Garrett The heavy-fermion material $\mathrm{URu_{2}Si_{2}}$ has long been a topic of interest due to the mysterious `hidden order' transition at $T_{0}$=17.5K. Though the exact nature of this order is still a matter of great debate, the transition has strong signatures in heat capacity, restivity and linear and non-linear magnetic susceptibility. Interest in the material has increased in recent years, as high-pressure measurements have revealed a first-order quantum phase transition to an antiferromagnetically ordered state. However, the fate of the hidden-order with pressure and how it relates to the anti-ferromagnetism is still unknown. With this in mind, we have measured the linear and nonlinear magnetic susceptibility of single-crystalline $\mathrm{URu_{2}Si_{2}}$ under hydrostatic pressure. We will report the results of these measurements, with particular emphasis placed on the signatures of hidden-order and how they evolve as the system is driven into the antiferromagnetic state. [Preview Abstract] |
Session Q13: Ground State Density Functional Theory: Applications
Sponsoring Units: DCOMPChair: Kieron Burke, University of California, Irvine
Room: Morial Convention Center 204
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q13.00001: Polarizabilities and Hyperpolarizabilities of Hydrogen Chains: Is Self-Interaction Correction the Key? A. Ruzsinszky, J.P. Perdew, G.I. Csonka, G.E. Scuseria, O.A. Vydrov Semi-local density functionals like the local spin density and generalized gradient approximations are known to overestimate [1, 2] the polarizabilities and especially the hyperpolarizabilities of long-chain molecules, while these quantities are much better predicted by exact-exchange methods (Hartree-Fock or Optimized Effective Potential). The source of this failure of the semilocal approaches for the electric response is rooted in the self-interaction error inherent to the semilocal approximations. We show here that the semi-local functionals, after full or scaled-down Perdew-Zunger self-interaction correction [3, 4], are even better than the exact-exchange methods for these quantities. \newline [1] S. K\"{u}mmel, L. Kronik, J. P. Perdew, Phys. Rev. Lett. \textbf{93,} 213002 (2004). \newline [2] C. D. Pemmaraju, S. Sanvito, K. Burke, arXiv:0708.2814v1. \newline [3] J. P. Perdew, A. Zunger, Phys. Rev. B. \textbf{23}, 5048 (1981). \newline [4] O. A. Vydrov, G. E. Scuseria, A. Ruzsinszky, J. P. Perdew, G. I. Csonka, J. Chem. Phys. \textbf{124}, 094108 (2006). [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q13.00002: Improved Description of Stereoelectronic Effects Using Semi-local Density Functional Theory Gabor I. Csonka, John P. Perdew, Adrienn Ruzsinszky Proper description of stereoelectronic (SE) effects is desirable for any theoretical method to be used in organic chemistry. The SE design rules are frequently used in synthetic organic chemistry to design and explain new reactions by electron donating and withdrawing effects or steric interactions. These effects are often poorly described by standard generalized gradient approximations for exchange and correlation. Many popular exchange-correlation functionals are biased toward the correct description of free atoms and fail to improve upon LSDA for solids. Changing two parameters within the PBE form to satisfy different constraints leads to a new non-empirical GGA, PBEsol [1], that performs well for solids and improves the description of large organic systems and reactions. We present examples where this new non-empirical functional provides considerable improvements for molecules. [1] J.P. Perdew, A. Ruzsinszky, G.I. Csonka, O.A. Vydrov, G.E. Scuseria, L.A. Constantin, X. Zhou, and K. Burke, http://arxiv.org/abs/0711.0156 [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q13.00003: Approximations in local hybrid density functionals Benjamin Janesko, Gustavo Scuseria Hybrid density functionals incorporating a fraction of exact (Hartree-Fock-type) electronic exchange have become one of the dominant approximations for modeling the electronic structure of large molecules and solids. Generalization to a position dependent admixture of exact exchange provides a route to improved accuracy. However, such local hybrid functionals generally have a significant computational expense. We present work towards approximate density functionals that incorporate some of the desirable properties of local hybrids, without requiring explicit evaluation of exact exchange. These results show promise for extending the benefits of hybrid functionals to larger systems. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q13.00004: The role of middle-range Hartree-Fock-type exchange in hybrid functionals Thomas Henderson, Artur Izmaylov, Gustavo Scuseria, Andreas Savin While hybrid functionals are responsible for many successes in modern Kohn-Sham theory, they have several drawbacks. The slow decay of nonlocal exchange makes hybrids computationally demanding in extended systems with small bandgaps, while in finite systems the rapid decay of semilocal exchange causes errors in quantities sensitive to the long-range potential. Both problems can be addressed by range-separated hybrids which include nonlocal exchange only for some values of the interelectronic separation. Excluding long-range nonlocal exchange in extended systems improves computational efficiency without loss of accuracy, while including full long-range nonlocal exchange in finite systems improves accuracy without loss of efficiency. Both approaches use a significant fraction of nonlocal exchange for intermediate electronic separations. We show that a hybrid functional that uses nonlocal exchange only for this middle range has many advantages, properly describing thermochemistry, reaction barriers, and bandgaps in the same framework. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q13.00005: Molecular Crystals, a test system for weak bonding. Bernard Delley, Teodora Todorova Intermolecular binding in molecular crystals are due to electrostatic and Van-der-Waals interactions. Crystal parameters are crucially dependent on the accuracy of the electronic model. We investigate sets of molecular crystals, classified by the lowest non-vanishing molecular multipole moment, with density functional theory. We find that certain density functional approximations give an almost surprisingly good description of such lattices, deteriorating only moderately going from molecules with dipole moment, to ones with quadrupole and to even higher symmetry molecules, where finally Van- der-Waals interactions dominate at large distance. For the best performing density functional approximations, even the VdW subset has an error range of calculated lattice parameters comparable to crystals with covalent, ionic or metallic bonds. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q13.00006: Surface Stress and Energy of Metal Nanoclusters Igor Vasiliev, Bharat Medasani, Young Ho Park We combine first-principles and empirical computational methods to study the surface energy and surface stress of silver and aluminum nanoparticles. The structures, cohesive energies, and lattice contractions of Ag and Al nanoclusters over a broad size range are analyzed using two theoretical approaches: an {\it ab initio} density functional pseudopotential technique combined with the generalized gradient approximation and the embedded atom method. Our calculations predict the surface energy of Ag and Al nanoclusters to be in the region of 1.0$-$2.2 J/m$^2$ and 0.9$-$2.0 J/m$^2$, respectively. The surface stress is evaluated from the average lattice contraction by considering the hydrostatic pressure on the surface of a spherical particle. A comparison of the calculated surface energies and stresses indicates a greater degree of surface reconstruction in Al nanoclusters than in Ag nanoclusters. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q13.00007: Applying Density Functional Theory for Atomic Vacancies in Solids Xiaolan Zhou, John P. Perdew We use a new generalized gradient approximation of density functional theory -- PBEsol, a revised Perdew-Burke-Ernzerhof GGA, to calculate the vacancy formation energies and other properties of metals and semiconductors: Al, Pt, Pd, GaN [1], etc. By restoring the gradient expansion over a wide range of density gradients, PBEsol [2] yields excellent jellium exchange and correlation surface energies. We expect that this new functional will improve the description of vacancies in real materials, since the vacancy formation energy is essentially the work needed to create an interior surface. \newline [1] Thomas R.Mattsson and Ann E. Mattson. Phys. Rev. B \textbf{66}, 214410 (2002). \newline [2] John P. Perdew, Adrienn Ruzsinszky, Gabor I. Csonka, Oleg A. Vydrov, Gustavo E. Scuseria, Lucian A. Constantin, Xiaolan Zhou, and Kieron Burke, Restoring the density-gradient expansion for exchange in solids and surfaces, http://arxiv.org/abs/0711.0156 [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q13.00008: Generalized LDA$+$U$+$V functional in DFT calculations for covalent systems Vivaldo Campo, Matteo Cococcioni In this work, we introduce a generalized LDA$+$U$+$V functional that consists in both on-site (U) and inter-site (V) interactions. While V can be straightforwardly obtained from the same linear-response approach used to calculate U [1], its inclusion in the ``$+$U'' energy functional can improve the treatment of systems where electrons tend to localize on molecular states (bonds) rather than on atomic orbitals, and will extend the applicability of this approach to a much broader class of systems. Because of a better treatment of hybridization, this extension also helps avoiding well-known drawbacks of ``standard'' (atomic) LDA$+$U such as the exaggerated down-shift of the energy of localized filled orbitals. It thus results in an improved energetic description, which is crucial for studying structural relaxations, chemical reactions and phase transitions. Paradigmatic examples of application of this generalized approach will include diatomic molecules, TM oxides and group-IV solids. $^1$ M. Cococcioni and S. de Gironcoli, Phys. Rev. B {\bf 71}, 035105 (2005). [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q13.00009: Comparative Study of the Performance of DFT B3PW91 for the Prediction of Electronic Properties of Molecules Jasma Batham, Pedro Derosa Density Functional Theory is a widely used and accepted tool for the prediction of ground state molecular properties. However and despite the fact that the hybrid GGA functional B3PW91 has proven to be successful for many application, its use seems not to be as widespread. In a paper by Zhang and Musgrave the HOMO, the LUMO and the energy difference between the two (HLG), is compared for a set of 27 molecules to the experimental ionization potential (IA), electron affinity (EA), and the lowest excitation energy respectively. The first two are inspired by the Koopman's theorem that suggests that the HOMO is a good approximation of -IP while the negative of the LUMO is an approximation of the -EA. Notably, in the mentioned paper, results for 11 different DFT functional are compared but B3PW91 is not one of them. In this work we compare the performance of B3PW91, to that of B3LYP, also a hybrid functional, and the corresponding non-hybrid GGAs BLYP and BPW91 for the same 27 molecules. For all the cases the 6-311+G** basis set is used. We compare HOMO, LUMO and HLG to the experimental IP, EA, and first excitation energy among the four methods. In addition we formally calculated the IP and EA as the difference in energy between the corresponding ion and the neutral. B3PW91 show at least comparable results to other methods more commonly used. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q13.00010: Relativistic Density Functional Theory Calculations of the Electron Paramagnetic Resonance Parameters for Vanadyl Acetyl Acetonate and Copper Acetyl Acetonate Laxman Mainali, Indra Sahu, Keith Earle Relativistic density functional theory calculations of electron paramagnetic resonance (EPR) parameters using a variety of basis sets have been computed for the model systems Vanadyl acetyl acetonate and Copper acetyl acetonate using the ORCA program. The basis set dependence of g and A tensor calculations for Vanadyl acetyl acetonate and Copper acetyl acetonate were studied using Pople Style and Ahlrichs basis sets in Local and gradient corrected functionals (BP86 and PWP) and Hybrid functionals (B3LYP and PW1PW). The PW1PW hybrid functional gives the best values for VO(acac)$_{2}$ using the TZV basis set and for Cu(acac)$_{2}$ using the 6-311G(d) basis set. The calculated A values with PW1PW hybrid functional for VO(acac)$_{2}$ and Local and gradient corrected functional (BP86) for Cu(acac)$_{2}$ with same basis set (DZ) give better results than previously reported values using the Amsterdam Density Functional Theory (ADF) Software. Our calculated g and A tensor values are in good agreement with the values determined from experiment. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q13.00011: ABSTRACT WITHDRAWN |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q13.00012: Functional minimization scheme for first-principles electronic structure calculations with bi-orthogonal interpolating wavelets William Garber, Dmitri Volja, Wei Ku A new development of first-principles electronic method will be presented based on direct energy functional minimization and bi-orthogonal wavelet basis set. The employment of bi- orthogonal basis allows systematically controlled accuracy while benefiting from the compact support that allows O(N) algorithms. Furthermore, utilization of the interpolating nature of the wavelet, together with the effectiveness of multi-resolution of wavelet, enables very efficient calculation without compromising accuracy. By avoiding solving eigenvalue equation as in standard Kohn-Sham framework, the method is easily extended to parallel algorithms, and allows simple implementation of various non- local functionals. In case of crystals, our method gives directly solution as Wannier functions, further utilizing their sparseness. This new development is ideal for easy implementation and accurate systematic benchmarking of various modern functionals, and holds the potential to attack very large systems such as nano- materials. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q13.00013: An implementation of LDA+DMFT within the PAW framework: Application to SrVO3. Bernard Amadon, Frank Lechermann, Antoine Georges The combination of LDA and DMFT has been used in the past ten years to understand properties of strongly correlated systems. Different interfaces have been used such as Linear Muffin Tin Orbitals and Maximally Localized Wannier Functions. Such schemes are however restricted to simple systems because the construction of wannier functions is demanding or because another simplification is used (such as the atomic sphere approximation). We present a new implementation of LDA+DMFT, which keeps the precision of the Wannier implementation, but which is lighter. It relies on the projection of Kohn-Sham states over localized orbitals to define the correlated subsystem. We have implemented this method within the Projector Augmented Wave framework. This thus opens the way to electronic structure calculations within LDA+DMFT for more complex structures. We present an application to SrVO3. The results are compared to calculations done on the Wannier basis and we discuss the features of the total spectral function. [Preview Abstract] |
Session Q14: Bosons in Optical Lattices
Sponsoring Units: DAMOPChair: Theja De Silva, State University of New York, Binghamton
Room: Morial Convention Center 205
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q14.00001: Control of Decoherence of Many-Body Excitations in a Bose-Einstein Condensate Nir Bar-Gill, Eitan Rowen, Nir Davidson In this work we discuss collective, many-body excitations of a BEC, and their decay into the condensate continuum. We measure the excitation spectrum of a BEC loaded into a 1D optical lattice, and the decoherence of these excitations due to Beliaev damping, as a function of the lattice depth. The cause for decoherence is the Beliaev decay of Bogoliubov quasi-particles, both of which (the excitation and decay products) are observable experimentally. The unique structure of the BEC reservoir, which is composed of a continuum of initially unoccupied quasi-particle modes, results from the quantum interference between the hole and particle amplitudes of these modes. This structure can be modified in a well- controlled manner by adiabatically loading the BEC into and optical lattice. Therefore, by changing the depth of the lattice, we can control the decoherence rate of the excitations. Our experimental results are compared to 1D calculations of the Bloch-Bogoliubov theory. We find that the rate of decay is either enhanced or suppressed as a function of lattice depth. These results can be explained in the framework of the general formula for decay, which also accounts for both the quantum zeno and anti-zeno effects. Such control of the coherence time could allow further studies of dynamics and phase fluctuations of this many-body system. [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q14.00002: Investigating Universal Few-body Physics based on Bose-Einstein Condensates of Cesium Atoms in Optical Lattices Nathan Gemelke, Chen-Lung Hung, Xibo Zhang, Cheng Chin We present progress on an experiment designed to investigate universality in few-body systems based on Bose-condensed atoms in optical lattices. In particular, we describe how collapse and revival of matter wave coherence may be used as a sensitive probe for non-binary collisions and correlations. We discuss limitations on the technique due to lattice inhomogeneity and hydrodynamic time-of-flight, and suggest remedies based on Feshbach-mediated control of interactions, and application of spin-echo techniques to matter-wave revival. To reach this goal, we have recently demonstrated Bose-Einstein condensation of $3\times 10^5$ cesium atoms in a crossed-beam dipole trap. A novel trapping geometry is adopted which allows us to smoothly convert the crossed-beam trap to a single layer of two-dimensional (2D) lattices. The single-layer 2D lattice will allow us to directly monitor the density distribution of atoms and identify domains with different atomic populations. [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q14.00003: Temperature effects when adiabatically ramping up the optical lattice Lode Pollet, Corinna Kollath, Kris Van Houcke, Matthias Troyer When atoms are loaded into an optical lattice, the process of gradually turning on the lattice is almost adiabatic. We calculate the entropy in the single band Bose- Hubbard model for various densities, interaction strengths and temperatures using Quantum Monte Carlo. This allows us to draw equi-entropy lines in order to study the adiabatic heating when going from the gapless superfluid phase to the gapped Mott phase. We present results in one and two dimensions for homogeneous and trapped systems. We find that current experiments remain in the quantum degenerate regime, and our theory can reproduce experimental time-of-flight images. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q14.00004: Bose-Einstein condensation and superfluidity in optical lattices and periodic porous media; a path integral Monte Carlo study Ali Shams, Henry Glyde We evaluate the Bose-Einstein condensate density and the superfluid fraction of bosons in a periodic external potential using Path-Integral Monte Carlo (PIMC) methods. A unit cell containing a potential well is replicated into a lattice along 1D using periodic boundary conditions. The aim is to describe bosons in a 1D optical lattice or helium confined in a periodic porous medium. The One-Body Density Matrix (OBDM) is evaluated and diagonalized to obtain the single boson natural orbitals (e.g. the condensate orbital) and the occupation of these orbitals (e.g. the condensate fraction). The superfluid density is obtained from the winding number. We investigate (1) the impact of the periodic external potential on the spatial distribution of the condensate, and (2) the correlation between localizing the condensate into separated parts and the loss of superflow along the lattice. For strongly interaction Bosons, as the well depth increases, the condensate becomes depleted in the wells (depletion by interaction). For weakly interacting bosons, as the well depth increases, the BEC is localized at the center of the wells (tight binding). In both cases, the localization of the condensate suppresses superflow leading to a superfluid-insulator cross-over. The temperature dependence is investigated and comparison with Hubbard models and experiment is made. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q14.00005: Superfluid-Insulator and Roughening Transitions in Domain Walls Sebnem Gunes Soyler, Barbara Capogrosso-Sansone, Nikolay Prokof'ev, Boris Svistunov We have investigated superfluid behavior of one and two dimensional interfaces separating solid domains. The system is described by the hard-core Bose-Hubbard Hamiltonian with nearest-neighbor interaction. We present the analysis of superfluid-insulator transition of the interface based on our quntantum Monte Carlo simulations. We also show that, in one dimension the transition is accompanied by the roughening transition, driven by proliferation of charge-1/2 quasiparticles. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q14.00006: Ground state properties of one- and two-component Bose-Hubbard model Barbara Capogrosso-Sansone, Sebnem Gunes Soyler, Nikolay Prokof'ev, Boris Svistunov We report results for the ground state properties of the single component Bose-Hubbard model and preliminary results for the two-component system at total unity filling factor. Our study is based on exact quantum Monte Carlo simulations by worm algorithm. We compare our answers with those of existing analytic calculations based on strong coupling expansion and mean field theory. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q14.00007: Mott-insulator mediated Josephson physics Courtney Lannert, Smitha Vishveshwara We investigate the phenomenon of Josephson tunneling between superfluid regions separated by Mott insulating regions in the context of the Bose-Hubbard model. Such systems can be realized when bosons on a lattice close to a commensurate filling are subject to a random potential or when bosons of sufficiently small hopping strength are trapped in an applied confining potential (i.e. in cold-atomic systems). Using a pseudospin approach valid at small t/U (large interaction strength or small hopping), we derive the equations of motion of the system and show that they lead to Josephson coupling between disjoint superfluid regions. We find expressions for the bulk energy and the Josephson tunneling energy and evaluate them numerically for realistic experimental parameters in a radially-symmetric parabolically-confined cold atom system. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q14.00008: Radio frequency spectra of interacting bosons in an optical lattice trap Kuei Sun, Smitha Vishveshwara, Courtney Lannert We study the inhomogeneous system of interacting bosons in an optical lattice trap. We focus on the weak tunneling region wherein a condensate is predicted to exist between two Mott insulating phases and we consider the effect of applying a radio- frequency (RF) magnetic field in this region. We find that the RF spectrum for driving transitions from one hyperfine species of bosons to another is markedly different between the Mott insulating phase and the condensed phase. In particular, the former has one resonant peak, while the latter has two peaks which show shifts of the order of the tunneling strength between lattice sites. Our results and analyses provide a means of verifying the existence of the condensate. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q14.00009: Bosons with three-body interactions on optical lattices Stefan Wessel Motivated by a recent proposal on using polar molecules in optical lattices driven by microwave fields to induce strong three-body interactions (H. P. B\"uchler et al., Nature Physics 3, 726 (2007)), we study the quantum phase diagram of the boson Hubbard model with nearest neighbor three-body repulsion using quantum Monte Carlo simulations. In particular, we consider the case of a one-dimensional system in the hard-core limit, and assess the nature of the phases that appear in this regime. Our exact numerical results are compared to analytical findings based on a bosonization approach to the same model. Extensions to higher-dimensional systems are mentioned. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q14.00010: Supersolidity from defect-condensation in the extended boson Hubbard model Yu-Chun Chen, Roger G. Melko, Stefan Wessel, Ying-Jer Kao We study the ground state phase diagram of the hard-core extended boson Hubbard model on the square lattice with both nearest- (nn) and next-nearest-neighbor (nnn) hopping and repulsion, using Gutzwiller mean field theory and quantum Monte Carlo simulations. We observe the formation of supersolid states with checkerboard, striped, and quarter-filled crystal structures, when the system is doped away from commensurate fillings. In the striped supersolid phase, a strong anisotropy in the superfluid density is obtained from the simulations; however, the transverse component remains finite, indicating a true two-dimensional superflow. We find that upon doping, the striped supersolid transitions directly into the supersolid with quarter-filled crystal structure, via a first-order stripe melting transition. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q14.00011: Bose Hubbard model in the presence of Ohmic dissipation Denis Dalidovich, Malcolm Kennett We study the zero temperature mean-field phase diagram of the Bose-Hubbard model in the presence of local interactions between the bosons and an external bath. We consider a coupling that conserves the on-site occupation number, preserving the robustness of the Mott and superfluid phases. We show that interaction with the bath shrinks the size of the Mott lobes, leading to superfluidity around the points where $\mu/U$ is integer, even in the absence of hopping between the sites. It also imposes an upper limit on the possible occupation numbers in the Mott phase, $n_{\rm max}$ which is relatively small. We discuss the role that such a bath coupling may play in experiments that probe the formation of the insulator-superfluid shell structure in systems of trapped atoms. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q14.00012: Incommensurate superfluidity of bosons in the optical lattice of double-well potentials Vladimir M. Stojanovic, Congjun Wu, W. Vincent Liu, Sankar Das Sarma We study the first excited band of the Bose-Hubbard model in a double-well optical lattice, a setup recently experimentally realized by a group at NIST. A unique feature of this system is the two lowest bands being far separated from the higher bands, which leads to a greatly reduced phase space for the decay of bosons initially occupying the first excited band. By calculating the parameters of the Bose-Hubbard model based on the nonseparable optical lattice potential used in the NIST experiments, we estimate that in the most favorable situations the lifetime of bosons in the first excited band can be several orders of magnitude longer than the characteristic time scales associated with nearest-neighbor tunneling. An additional novel feature of this system is that the band-minima of the excited band occur at an incommensurate finite crystal momentum, suggesting a new superfluid state of circulating currents that spontaneously breaks the time-reversal, rotational, and translational symmetries. We discuss possible physical consequences of this unconventional state. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q14.00013: Superfluid-insulator transition in Fermi-Bose mixtures and the orthogonality catastrophe Gil Refael, Eugene Demler The superfluid-insulator transition of bosons is strongly modified by the presence of Fermions. Through an imaginary-time path integral approach, we account for the statical as well as the dynamical screening effects of the Fermions on the boson's superfluid transition line. We find that an effect akin to the fermionic orthogonality catastrophy, arising from the fermionic screening fluctuations, suppresses superfluidity. We analyze this effect for various mixture parameters and temperatures, and consider possible signatures of the orthogonality catastrophe effect in other measurables of the mixture. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q14.00014: Novel few- and many-body lattice methods for cold atoms Dean Lee We discuss general methods for measuring scattering phase shifts, spin-orbit coupling, and mixing angles for quantum particles on a lattice. We also present many-body lattice results for ground state properties at unitarity and deviations due to finite S-wave scattering length, S-wave effective range, and P-wave scattering volumes. [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q14.00015: Cat state production with ultracold bosons in rotating ring superlattices Andreas Nunnenkamp, Ana Maria Rey, Keith Burnett Ultracold bosons in rotating ring lattices have previously been shown to form cat-like superpositions of different quasi-momentum states. We demonstrate that cat state production in slightly non-uniform ring lattices has several advantages: the energy gap decreases less severely with the number of particles, the sensitivity to detunings from the critical rotation frequency is reduced, and the scheme is not limited to commensurate filling. We show that different quasi-momentum states can be distinguished in time-of-flight absorption imaging and propose to probe cat-like correlations via the many-body oscillations induced by a sudden change in the rotation frequency. [Preview Abstract] |
Session Q15: Focus Session: Semiconductor Qubit Approaches I
Sponsoring Units: DMPChair: Mark Eriksson, University of Wisconsin
Room: Morial Convention Center 207
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q15.00001: Spin Dependent Transport in Si/SiGe Few-Electron Quantum Dots Invited Speaker: Si/SiGe quantum dots are of interest for quantum information processing due in large part to the existence of spin zero isotopes of both Si and Ge. We present the results of transport measurements and integrated charge sensing in silicon double and single quantum dots.[1,2] We observe two effects arising from spin dependent transport in a double quantum dot. First, and as expected, for one direction of current flow we observe spin blockade -- the canonical example of spin-to-charge conversion in transport. In addition, when current flow is reversed, we observe a second effect: strong tails of current extend from the sharp triangular regions in which current conventionally is observed. The presence of these tails is explained by a combination of long spin relaxation times and preferential loading of an excited spin state. We also present charge-sensing measurements of single and double quantum dots using an integrated quantum point contact. The charge sensor signal from single electron tunneling is well correlated with conventional transport through the system. When the tunnel barriers are large and transport through the dot is not measurable, charge sensing remains a viable means to track charge transitions and is used to confirm individual-electron occupation in a single quantum dot. Work performed in collaboration with Nakul Shaji, Madhu Thalakulam, Levente J. Klein, H. Luo, Hua Qin, R. H. Blick, D. E. Savage, M. G. Lagally, A. J. Rimberg, R. Joynt, M. Friesen, S. N. Coppersmith, M. A. Eriksson. Work supported by ARO, LPS, NSF and DOE. (1) Shaji, N. \textit{et al}. e-print arXiv:0708.0794 (2) Simmons, C. B\textit{. et al}. Appl. Phys. Lett. \textbf{91}, 213103 (2007). [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q15.00002: Coulomb Blockade in Double Top Gated Si MOS Nano-Structures Eric Nordberg, Malcolm Carroll, Mike Lilly, Kent Childs, Lisa Tracy, Kevin Eng, Robert Grubbs, Joel Wendt, Jeff Stevens, Mark Eriksson Recent demonstrations of Pauli blockaded transport in Si-based double quantum dots [1,2] have demonstrated that the basic processes involved in spin-to-charge conversion are observable in gated quantum dots in Si. In this work, we will present results on the fabrication and electrical transport properties of novel double top gated Si MOS nano-structures. Potential advantages include: variable 2DEG density, CMOS compatible processes, and relatively small vertical length scales. A silicon foundry was used for initial processing steps and produced MOS structures with a peak mobility of 12000 cm sq/V-s at electron densities of 1e12/cm\^{}2. Resulting structures, demonstrate Coulomb blockade, and we will discuss the effect of different geometries (vertical top gate spacing, and single and double dot designs) on Coulomb blockade in these Si MOS structures. Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000. (1) Nakul Shaji et. al. arXiv:0708.0794v1 (2) H. W. Liu et. al. arXiv:0707.3513v1 [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q15.00003: Dephasing of exchange coupled spin qubits by electron-phonon coupling in Silicon Xuedong Hu Silicon is regarded as one of the most promising host materials for solid state spin-based quantum information processing because of small spin-orbit interaction and the prospect of removing nuclear spins through isotopic purification. However, in a coupled spin system, charge and orbital fluctuations are as harmful to the spin qubits as in other semiconducting materials. Here we explore pure dephasing between the two-electron singlet and triplet states for two exchange-coupled spin qubits in a double quantum dot, with particular attention paid to the multi-valley nature of the silicon conduction band. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q15.00004: Decoherence and Relaxation in Two-electron Si Quantum Dots Marta Prada, Mark Friesen, Robert Joynt We study the relaxation process for a doubly-occupied silicon quantum dot from an excited (triplet) state to the ground (singlet) state. The dominant mechanism available in absence of an external magnetic field is the hyperfine coupling with nuclei via a virtual state. Since a direct transition is forbidden by energy conservation, (the energy associated with a nuclear spin is three orders of magnitude smaller than that of the electron spin), the change in energy of the electron spin has to be compensated by a lattice vibration, or emission of a phonon. On the other hand, in absence of time reversal symmetry, spin-orbit (S0) admistures different spin states through the \emph{Rashba} SO coupling. This leads to a non-vanishing matrix element for the phonon-assisted transition between a singlet and a triplet state, where the phonon provides only energy conservation, potentially increasing the relaxation rate, $\Gamma_{\mathrm{ST}}$. We find relaxation times $T_{\mathrm{ST}}$ of a few seconds for a 40$\times$40$\times$15nm$^3$ Si quantum dot in a magnetic field of 1T. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q15.00005: Spin singlet-triplet relaxation times in Si double quantum dots Anton Vorontsov, Maxim Vavilov Recent observation of spin-sensitive transport in semiconductor quantum dots presents a new way of spin manipulation in nanoscale devices. Spin-flip processes are essential for understanding the potential of these systems. Following experiments by A.~C.~Johnson {\it et.al.} Phys.Rev.B {\bf 72}, 165308 (2005) and N.~Shaji {\it et.al.} [cond-mat/0708.0794] we calculate the relaxation times of different spin configurations in double quantum dots. For two-electron states, we evaluate the effects of leads on the spin-flip transitions, compare these effects with relevant spin-orbit and nuclear spin relaxation mechanisms, and calculate the electric current profile, including structure of the peaks and temperature dependence of the transport in the suppressed (`valley') region. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q15.00006: Spin resonance of 2D electrons in silicon MOS structures S. Shankar, A. M. Tyryshkin, S. A. Lyon Metal-oxide-silicon (MOS) heterostructures are a well developed technology, but not much is known about the electron spin properties of this system. However the promise of utilizing electron spins in MOS structures as qubits for quantum information processing calls for detailed study of these properties. We have previously reported an ESR signal at g = 1.9999(1) originating from 2D electrons in a MOSFET. The signal arises from mobile 2D electrons at gate voltages above threshold and weakly confined electrons below threshold. The signal intensity for confined electrons follows the expected Curie-like 1/T temperature dependence characteristic of isolated, independent spins. At high electron densities, where the Fermi energy is large compared to the microwave frequency, one might expect a simple Pauli susceptibility temperature dependence. In particular, electron spin susceptibility is expected to become constant at low temperatures. Perhaps surprisingly, we find that below about 4 K, the spin susceptibility decreases as the temperature is lowered. At electron densities from $3 \times 10^{11}$ to $10 \times 10^{11}$ cm$^{-2}$, the signal intensity falls by a factor of 5, as the temperature is reduced from 4 to 2 K. A more sophisticated analysis is required to explain the temperature dependence of the mobile 2D electron ESR signal. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q15.00007: Valley Splitting in Electrostatically Confined Structures at the Si/SiO$_2$ Interface L.A. Tracy, E.P. Nordberg, K. Eng, M.P. Lilly, M.S. Carroll Silicon is a promising material for qubits that use the spin degree of freedom for their encoding because of the anticipated long spin decoherence times. Electrostatic confinement of electrons at a Si(100)/dielectric interface splits the 6 fold conduction band degeneracy. However, 2DEGs are found to have a relatively small valley splitting between the two lowest levels, which is smaller than predicted for ideal interfaces. Small valley splitting is undesirable as it may detrimentally impact the spin decoherence time. Recent theory suggests that interface properties (e.g., miscut and disorder) can significantly change the valley splitting. Large splitting of the valley states has recently been observed in nanostructures formed in Si/SiGe heterostructures for which it is believed the electrons sampled a small number of atomic terraces [1]. In this talk, we will discuss valley splitting at a Si/SiO$_2$ interface in both conventional MOSFETs, MOS- nanostructures and their dependence on effects such as interface roughness, fixed charge, trap density and strain. The valley splitting is characterized via activation energy measurements in the quantum Hall regime. [1] S. Goswami et al., Nature Physics 3, 41 (2007). Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States DOE under contract DE-AC04- 94AL85000. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q15.00008: Substrate orientation dependence of valley-splitting in Silicon nanostructures Neerav Kharche, Seongmin Kim, Timothy Boykin, Gerhard Klimeck Si nanostructures are being actively perceived for Quantum Computing (QC) devices where valley-splitting (VS) is an important device design parameter. Si is desirable for QC due to its long spin decoherence times, scaling potential and integratability within the present microelectronic infrastructure. Six-fold degenerate valleys in Si interact with each other in the presence of confinements provided by physical dimensions of the nanostructures, and applied electric and magnetic fields. These interactions can result in very-different splittings depending on substrate orientations and inherently present disorders in nanostructures. Surface morphology of Si is highly dependent on substrate orientations and so is the VS. Such surface irregularities are automatically included in supercell tight-binding calculations due to atomistic nature of the Hamiltonian. VS calculations in the Si nanostructures grown on (100), (110), (111) and high index vicinal surfaces will be presented. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q15.00009: Why the Long-term Charge Offset Drift in Si SET Transistors is Much Better than Metal-Based Ones: TLF Stability Neil Zimmerman, William Huber, Stuart Martin, Brian Simonds, Emmanouel Hourdakis, Akira Fujiwara, Yukinori Ono, Yasuo Takahashi, Hiroshi Inokawa, Christian Hof, Miha Furlan, Mark Keller, Jose Aumentado The charge offset drift is a long-standing problem in metal-based single-electron tunneling (SET) devices, manifesting as a time-dependent instability. Through a compendium of drift measurements on SET transistors fabricated in five different laboratories, we can show that the drift is endemic in metal- based devices, but is absent in Si-based devices. Given that it is well-known that two-level fluctuators (TLF's) exist in Si devices, the question naturally arises: why is the long-term drift so much better in the Si-based devices? Our answer: the TLF's in Si devices are stable over time, thermal cycling, etc., whereas the TLF's in the metal-based devices are unstable, and exist in interacting glass-like state. Following these observations, we have developed a model based on the theory of heat evolution in glasses that quantitatively agrees with the rate of charge offset drift in metal-based devices. Finally, we suggest some particular directions for future fabrication that may eliminate this problem. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q15.00010: Vertically coupled Al and Si SETs for characterization of MOS structures at low temperature Luyan Sun, B.E. Kane Due to impurities and interface states, a silicon metal-oxide-semiconductor field-effect transistor (MOSFET) channel is usually imperfect. A single electron transistor (SET) close to the channel provides a useful probe of these imperfections at low temperatures, the regime where Si devices may be used for quantum information processing. We incorporate an Al-AlO$_{x}$-Al SET as the gate of a narrow MOSFET to induce a self-aligned and vertically coupled Si SET at the Si/SiO$_{2}$ interface [1]. We use this SET sandwich architecture to probe and identify sources of defect charge motion in MOS structures via a cross-correlation measurement between the two SETs. In particular, we will present preliminary data from these devices to study a single charge defect at the Si/SiO$_{2}$ interface. [1] L. Sun, K. R. Brown, and B. E. Kane, Appl. Phys. Lett. \textbf{91}, 142117 (2007). [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q15.00011: Gate control of single-electron spins: a multi-scale numerical simulation approach Sanjay Prabhakar, James Raynolds Among recent proposals for next-generation, non-charge-based logic is the notion that a single electron can be trapped and its spin manipulated through the application of gate voltages (Rev. Mod. Phys. 79, 1217 (2007)). In this talk we present numerical simulations of such Spin Single Electron Transistors (SSET) in support of experimental work at the University at Albany, State University of New York aimed at the practical development of post-CMOS concepts and devices. We use a multi-scale simulation strategy to self-consistently solve the Schroedinger-Poisson equations (with and without exchange-correlation effects) to obtain realistic confining and gating potentials for realistic device geometries. We discuss scaling of the equations in the various sub domains of a finite-element discretization to span the dimensions from the micron scale of the gate structures down the single-electron level. We will discuss the calculation of the gate-tuned ``g-factor" for electrons and holes (Phys. Rev. B 68, 155330 (2003)) in electro-statically- and lithographically-defined quantum dots including the Rashba and Dresselhaus spin-orbit interactions computed numerically from realistic wave functions. This work is supported through funding from the DARPA/NRI INDEX center. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q15.00012: Negative-result evolution from continuous noisy measurement of a double-dot spin qubit Rusko Ruskov, Viatcheslav V. Dobrovitski, Bruce N. Harmon We consider evolution of a double quantum dot (DQD) two-electron spin qubit that is continuously measured with a linear charge detector (quantum point contact). We identify the regime where a non-unitary negative-result evolution of the qubit emerges due to the fact that the system remains in the (1,1) charge state (each dot is occupied by one electron). In this case, the $|T_0(1,1)\rangle$ triplet spin state is spin-blocked, and the transition between $|S(1,1)\rangle$ and $|S(0,2)\rangle$ states is suppressed by the continuous measurement, due to the quantum Zeno effect. Moreover, unitary evolution between $|T_0(1,1)\rangle$ and $|S(1,1)\rangle$ states is induced by the negative-result measurement due to presence of $|S(0,2)\rangle$ state. We demonstrate that these effects exist for both strong and weak coupling between the detector and the DQD system. They can be observed with present-day technologies and can be used for coherent qubit manipulations complimentary to existing methods. [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q15.00013: Atomistic calculation of electronic and optical properties of a single InAs quantum dots M. Zielinski, M. Korkusinski, W. Sheng, P. Hawrylak We present an atomistic tight-binding (TB) theory of electronic structure and optical properties of a single self-assembled InAs quantum dot (SAD). In previous work an effective-bond-orbital model (EBOM) was used to calculate electron and hole states of the SAD. The strain distribution was calculated using the continuum elasticity theory and EBOM was coupled to the strain via the Bir-Pikus Hamiltonian. However, the properties of these multimillion-atom systems are influenced by the presence of crystal facets and the symmetry of underlying zinc-blende lattice. In current work we present a fully atomistic TB model, accounting for the atomistic symmetry, and extended to include d-orbitals for proper treatment of interband/intervalley couplings. Strain is included in the Hamiltonian via Slater-Koster rules and a generalized Harrison law, with the equilibrium positions of atoms calculated using the valence force field method. Coulomb matrix elements are found using the TB functions, and electronic properties of N confined excitons (N=1-6) are determined in the CI approach. Emission spectra of multiexcitons are also obtained. Comparison with the previous approach and the experimental results is presented. [Preview Abstract] |
Session Q16: Focus Session: Cytoskeletal Dynamics and Cell Motility II
Sponsoring Units: DBP DPOLY DFDChair: Jay Tang, Brown University
Room: Morial Convention Center 208
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q16.00001: Cell migration through connective tissue in 3-D Invited Speaker: A prerequisite for metastasis formation is the ability of tumor cells to invade and migrate through connective tissue. Four key components endow tumor cells with this ability: secretion of matrix-degrading enzymes, firm but temporary adhesion onto connective tissue fibers, contractile force generation, and rapid remodeling of cytoskeletal structures. Cell adhesion, contraction, and cytoskeletal remodeling are biomechanical parameter that can be measured on single cells using a panel of biophysical methods. We use 2-D and 3-D traction microscopy to measure contractile forces; magnetic tweezer microrheology to estimate adhesion strengths, cytoskeletal stiffness and molecular turn-over rates; and nanoscale particle tracking to measure cytoskeletal remodeling. On a wide range of tumor cell lines we could show that cell invasiveness correlates with increased expression of integrin adhesion receptors, increased contractile force generation, and increased speed of cytoskeletal reorganization. Each of those biomechanical parameters, however, varied considerably between cell lines of similar invasivity, suggesting that tumor cells employ multiple invasion strategies that cannot be unambiguously characterized using a single assay. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q16.00002: Dynamics of active cellular response under stress Rumi De, Assaf Zemel, Samuel Safran Forces exerted by and on adherent cells are important for many physiological processes such as wound healing and tissue formation. In addition, recent experiments have shown that stem cell differentiation is controlled, at least in part, by the elasticity of the surrounding matrix. Using a simple theoretical model that includes the forces due to both the mechanosensitive nature of cells and the elastic response of the matrix, we predict the dynamics of orientation of cells. The model predicts many features observed in measurements of cellular forces and orientation including the increase with time of the forces generated by cells in the absence of applied stress and the consequent decrease of the force in the presence of quasi-static stresses. We also explain the puzzling observation of parallel alignment of cells for static and quasi-static stresses and of nearly perpendicular alignment for dynamically varying stresses. In addition, we predict the response of the cellular orientation to a sinusoidally varying applied stress as a function of frequency. The dependence of the cell orientation angle on the Poisson ratio of the surrounding material can be used to distinguish systems in which cell activity is controlled by stress from those where cell activity is controlled by strain. \textbf{Reference:} Nature Physics, vol. 3, pp 655 (2007). [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q16.00003: Observation of Non-local Mechanical Responses to Locally Applied Forces in Cells using Magnetic Micropost Arrays Corinne Lamb, Yaohua Liu, Daniel Reich, Nathan Sniadecki, Christopher Chen The process of force transduction by living cells is linked to changes in cellular function. To study the cellular response to applied forces, we have developed a novel force detection device, which can also be used to apply external forces to a cell. Cells are cultured atop an array of micrometer scale elastomeric posts, which act as independent sensors to cellular traction forces. An external force is applied to the adherent surface of the cell via a magnetic torque on a cobalt nanowire embedded in a single post. Results measuring the spatially resolved forces exerted by the cell over time indicate two responses: a sudden or a gradual global relaxation of the cell in response to a single force actuation. \footnote{N. Sniadecki, et. al, ``Magnetic microposts as an approach to apply forces to living cells,'' \emph{Proc Natl Acad Sci}, 104, no. 37 (2007): 14553} In both cases, the subcellular distribution of loss in traction forces was not concentrated near the point of stimulation but occurred instead at discrete locations around the cell's periphery. Observation of these adaptive non-local responses is potentially important in understanding how external forces are transduced into biochemical regulators of cell function. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q16.00004: Substrate Stiffness Detection by Cellular Stress and Strain Shang-You Tee, Paul Janmey Cells can detect the stiffness of their microenvironment and use this elasticity information to perform cellular functions. We grow cells in hydrogels of different stiffnesses. We embed particles in the hydrogels and measure the traction forces exerted on the hydrogel by tracking particle motions. We correlate these motions to protein dynamics and deduce the stress-strain relationship that cells use to measure elasticity. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q16.00005: Probing Eukaryotic Chemotaxis with Optically Manipulated Biomimetic Microparticles. Holger Kress, Cecile Mejean, Jin Gyu Park, Tarek Fahmy, Eric Dufresne Chemotactic cells are able to sense chemical gradients and to move towards the source of a chemical agent. Eukaryotic chemotaxis is an important part of the mammalian immune system and poses many questions about the cell's physical mechanisms to detect, process and respond to external stimuli. While an understanding of this process is emerging, new methods for precise, controlled and flexible quantitative cell stimulation are needed to test existing hypotheses. We present such a method which is based on optically manipulated biomimetic microparticles. We are developing colloidal particles that provide controlled release of a chemoattractant. These micro-sources of stimulating agents are positioned with optical tweezers at arbitrary locations close to chemotactic cells in order to apply flexible spatio-temporal stimulation patterns to the cells. We show that chemotactic cell response - directed cell polarization, motility and turning - can be induced by our novel stimulation method. In conjunction with live cell microscopy this method is suitable to study the dynamics of intracellular signaling loops. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q16.00006: Quantifying \textit{Dictyostelium discoideum} Aggregation Colin McCann, Paul Kriebel, Carole Parent, Wolfgang Losert Upon nutrient deprivation, the social amoebae \textit{Dictyostelium discoideum} enter a developmental program causing them to aggregate into multicellular organisms. During this process cells sense and secrete chemical signals, often moving in a head-to-tail fashion called a `stream' as they assemble into larger entities. We measure \textit{Dictyostelium} speed, shape, and directionality, both inside and outside of streams, and develop methods to distinguish group dynamics from behavior of individual cells. We observe an overall increase in speed during aggregation and a decrease in speed fluctuations once a cell joins a stream. Initial results indicate that when cells are in close proximity the trailing cells migrate specifically toward the backs of leading cells. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q16.00007: Cell motility as a persistent random walk Simon Norrelykke, Frank Julicher We study the stochastic properties of trajectories of individual keratocytes that move on a solid substrate. The distribution of observed velocities exhibits a characteristic maximum at finite speed and a local minimum at zero velocity. This velocity distribution depends on the averaging time during which velocities are measured. To characterize the stochatsic properties of the system, we determine the correlations between longitudinal and transverse components of the acceleration with the instantaneous velocity. The experimental data can be captured by a simplified physical description of cell locomotion where random forces act on a system of two elastically coupled elements, one of which is driven forward by an active process, dragging the second behind. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q16.00008: Role of receptor patch geometry for cell adhesion in hydrodynamic flow Christian Korn, Ulrich Schwarz Motivated by the physiological and biotechnological importance of cell adhesion under hydrodynamic flow, we theoretically investigate the efficiency of initial binding between a receptor-coated sphere and a ligand-coated wall in linear shear flow. Using a Langevin equation that accounts for both hydrodynamic interactions and Browian motion, we numerically calculate the mean first passage time (MFPT) for receptor-ligand encounter. We study how the MFPT is influenced by flow rate, receptor and ligand coverage, and receptor patch geometry. With increasing shear rate, the MFPT decreases monotonically. Above a threshold value of a few hundreds, binding efficiency is enhanced only weakly upon increasing the number of receptor patches. Increasing the height of the receptor patches increases binding efficiency much more strongly than increasing their lateral size. This strong dependance on out-off-plane geometry explains why white blood cells adhere to the vessel walls through receptor patches localized to the tips of microvilli, and why malaria-infected red blood cells form elevated receptor patches (\textit{knobs}). [1] C.~Korn and U.~S. Schwarz, \textit{Phys. Rev. Lett.} \textbf{97}: 138103, 2006. [2] C.~B. Korn and U.~S. Schwarz. \textit{J. Chem. Phys.} \textbf{126}: 095103, 2007 [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q16.00009: Dynamic friction measurements on living HeLa cells Marc-Antoni Goulet, Marie-Jos\'ee Colbert, Kari Dalnoki-Veress The interaction of cells with various interfaces, and especially man-made surfaces, is an active field of research. In our experiment we use a micropipette to measure both the friction and normal force as a cell slides across a surface. A thin substrate, coated with Poly-L-Lysine is brought into contact with a HeLa cell. The adjustable substrate motion is used to study the response of the cell at various normal forces and speeds. Analysis of the micropipette provides dynamic measurements of both the friction and normal force. With our novel setup we are able to probe the attachment/detachment process of living cells. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q16.00010: AFM method to study mechanics of biological cells with real brushy surface. Igor Sokolov, Swaminathan Iyer, Ravi Gaikwad, Venkatesh Subba-Rao, Craig Woodworth AFM is particular useful for studying biological systems because it can be used on viable cells directly in physiological media. Most of the time, the deformation curves measured with AFM on cells have typical ``two layer'' behavior. As we see from confocal fluorescent images of cells, the cell surface is not flat and covered by a brush-like structure. Here we describe a simple two-layer model to decouple the force response of these two ``layers'', the cell body and brush. In contrast with the existent biological methods, AFM is a highly sensitive technique that can provide precise quantitative data on both lengths and grafting densities of the brush while measured directly on viable cells. Moreover, it allows one to decouple true cell rigidity from the contribution of the brush layer. This novel method can be applied to virtually any kind of cells. Ignoring this layer may result in incorrect values of cell rigidity derived from the AFM measurements. We demonstrate the developed method on the example of cancerous and normal human cervical cells. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q16.00011: Dynamical measurement of the physical properties of single cells Marie-Josee Colbert, Cecile Fradin, Kari Dalnoki-Veress The mechanical response of living cells to external forces has attracted the attention of many researchers. We have developed a new tool that takes advantage of an `L' shaped micropipette to micromanipulate a single cell and put it in contact with an adhesive surface mounted on a translation stage. The spring constant of the micropipette is carefully measured and its deflection is used to apply a calibrated force, and probe the mechanical properties of the cell. As the cell is compressed between the pipette and substrate, dynamical measurements of the elasticity of the cell and the adhesion of the membrane to the substrate are obtained by monitoring the displacement of the micropipette. This technique gives access to real time monitoring of the cell response to a constant applied force, thus exploring the relaxation processes of the cell when subjected to deformation. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q16.00012: Computational modeling of cell-cell adhesion and cell-endothelium peeling Keng-Hwee Chiam, Raymond Quek We describe the use of computational modeling to study the behavior of cells adhering to one another as well as to the circulatory endothelium. These cells are subjected to shear stress imposed by the circulatory plasma, and may peel from the endothelium as a result. Cells that peel have a higher chance to enter circulation and hence pose a greater threat in cancer metastasis. We use the immersed interface method to model the cells and solve for its biomechanical response. We quantitatively study the peeling dynamics as a function of the cells' material properties and the surrounding fluid's dynamics. We show how cell peeling from the endothelium is hampered by its adhesive interaction with surrounding cells. In addition, a larger aggregate of cells, such as a tumor embolus, peels more readily from the endothelium than smaller ones. These result may give us insight into the concept of cancer metastatic efficiency. [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q16.00013: Implications of Cytoplasmic Streaming for Intracellular Transport and Micro-scale Mixing Jan-Willem van de Meent, Idan Tuval, Wim van Saarloos, Ray Goldstein Found in many large eukaryotic cells, particularly in plants, cytoplasmic streaming is the circulation of their contents driven by fluid entrainment from organelles carried by molecular motors at the cell periphery. Streaming has frequently been conjectured to aid in transport and mixing of molecular species in the cytoplasm, and, by implication, in cellular homeostasis, yet no mechanism quantifying this enhancement has been demonstrated. We solve the flow and its associated advection-diffusion equations for the archetypal `rotational streaming' found in Characean algae, where the cytoplasm streams up and down along helical bands on the surface of cylindrical \emph{internodal} cells. We find that the spiralling flow induces a secondary circulation, reminiscent of Dean vortices found at higher Reynolds numbers, which leads to the formation of a high-flux boundary layer allowing faster uptake and response to changes in external concentration. This effect constitutes a novel example of how high Pecl{\'e}t number flows can facilitate diffusive transport and mixing at the micro-scale. [Preview Abstract] |
Session Q17: Focus Session: Hydrophobic Interactions at Multiple Scales in Biology
Sponsoring Units: DBPChair: Margaret Cheung, University of Houston
Room: Morial Convention Center 209
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q17.00001: Water, Hydrophobic Interactions, and Polymer Collapse Invited Speaker: The collapse of a hydrophobic polymer in water is a basic model for many-body hydrophobic interactions, and it holds promise of providing fundamental insights into biomolecular folding transitions. Here, we discuss simulations that probe the effects of monomer length scale along with the strength of monomer-monomer and monomer-water interactions on the thermodynamics of the hydrophobic polymer collapse transition. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:27PM |
Q17.00002: Contrasting Nonaqueous against Aqueous Solvation on the Basis of Scaled-Particle Theory Invited Speaker: Normal hexane is adopted as a typical organic solvent for comparison with liquid water in modern theories of hydrophobic hydration, and detailed results are worked-out here for the C-atom density in contact with a hard-sphere solute, rho*G(R), for the full range of solute radii. The intramolecular structure of an n-hexane molecule introduces qualitative changes in G(R) compared to scaled-particle models for liquid water. Also worked-out is a revised scaled-particle model implemented with molecular simulation results for liquid n-hexane. The classic scaled-particle model, acknowledging the intramolecular structure of an n-hexane molecule, is in qualitative agreement with the revised scaled-particle model results, and is consistent in sizing the methyl/methylene sites which compose n-hexane in the simulation model. The classic and revised scaled-particle models disagree for length scales greater than the radius of a methyl group, however. The liquid-vapor surface tension of n-hexane predicted by the classic scaled-particle model is too large, though the temperature variation is reasonable; this contrasts with the classic scaled-particle theory for water which predicts a reasonable magnitude of the water liquid-vapor surface tension, but an incorrect sign for the temperature derivative at moderate temperatures. Judging on the basis of the arbitrary condition that drying is indicated when G(R) $<$ 1, hard spheres dry at smaller sizes in n-hexane than in liquid water. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 1:03PM |
Q17.00003: Protein folding, stability, and solvation structure in osmolyte solutions hydrophobicity Invited Speaker: The hydrophobic effect between solutes in aqueous solutions plays a central role in our understanding of recognition and folding of proteins and self assembly of lipids. Hydrophobicity induces nonideal solution behavior which plays a role in many aspects of biophysics. Work on the use of small biochemical compounds to crowd protein solutions indicates that a quantitative description of their non-ideal behavior is possible and straightforward. Here, we will show what the structural origin of this non-ideal solution behavior is from expression derived from a semi grand ensemble approach. We discuss the consequences of these findings regarding protein folding stability and solvation in crowded solutions through a structural analysis of the m-value or the change in free energy difference of a macromolecule in solution with respect to the concentration of a third component. This effect has recently been restudied and new mechanisms proposed for its origins in terms of transfer free energies and hydrophobicity. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:39PM |
Q17.00004: Effects of lengthscales and attractions on the collapse of hydrophobic polymers in water Invited Speaker: Hydrophobic and hydrophilic hydration and interactions play important roles in biological and colloidal self assembly processes. More recently, lengthscale dependences and manybody effects in these interactions have received renewed attention. We will present results from theory and molecular dynamics simulations on hydration of and interactions between solutes and interfaces of varying chemistries (from hydrophobic to hydrophilic) and lengthscales. These simulations combined with those of folding-unfolding of hydrophobic polymers in water and mixed aqueous solutions provide insights relevant to biological assembly. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q17.00005: Depletion when Water meets a Hydrophobic Surface Adele Poynor, Steve Granick, Paul Fenter, Ian Robinson What happens when water is forced into contact with a hydrophobic surface? Our previous synchrotron X-ray reflectivity experiments (Phys. Rev. Lett., 2006) reported strong evidence for the existence of an angstrom-thick region of low-density at this interface. Here we report fresh experiments in which ethanol, a wetting fluid, is studied at these same surfaces to quantify the contribution from terminal methyl groups on the hydrophobic surface that are invisible to X-rays. The existence of a depletion layer when water meets a suitably hydrophobic surface is confirmed. Better quantification of its thickness emerges. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q17.00006: Profile of the Interface between a Hydrophobic Surface and Water Ursula Perez-Salas, Johan Stalgren, Charles Majkrzak, Frank Heinrich, Michael Toney, David Vanderah Aqueous interfaces are ubiquitous and play a fundamental role in biology, chemistry, and geology. The structure of water near interfaces is of the utmost importance, including chemical reactivity and macromolecular function. Theoretical work by Chandler et al. on polar-apolar interfaces predicts that a water depletion layer exists between a hydrophobic surface and bulk water for hydrophobes larger than $\sim$20nm2 (a $\sim$4A in radius apolar molecule). Until now, what the interface really looks like remains in dispute since recent experiments give conflicting results: from complete wetting (no water depletion layer) to a water depletion layer. Those experiments that have found a water depletion layer report 40-70\% water in the depletion zone: 40 -70\% and a width of $\sim$3A. However, an alternative interpretation to the profiles exists where no depletion layer is required. By studying hydrophobic SAM surfaces against several water mixtures we obtained the hydrophobic/water profile by phase sensitive neutron reflectivity. With this model independent technique we observe a 2 times wider and drier depletion water layer: 6A thick and 0-25\% water. Given the level of disagreement, I will review the topic of immiscible interfaces and show how phase sensitive reflectometry is unique in obtaining nm resolution profiles without fitting bias. [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q17.00007: Reconstructing the dynamics of water near a model charged surface using inelastic x-ray scattering Nathan Schmidt, Robert Coridan, Ghee Hwee Lai, Peter Abbamonte, Gerard Wong Understanding the behavior of water near hydrophobic surfaces is fundamental to many aspects in biology and surface. From high resolution inelastic x-ray scattering measurements of the dynamical structure factor at 3rd generation synchrotron sources, we reconstruct the longitudinal (density) response function of water. We use this data set to investigate how water behaves at polar and non-polar surfaces via linear response theory. Preliminary data on this and on how water wets hydrophobic surface patches of different sizes will be presented. [Preview Abstract] |
Session Q18: Polymer Nanocomposites II
Sponsoring Units: DPOLYChair: Erik Hobbie, National Institute of Standards and Technology
Room: Morial Convention Center 210
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q18.00001: Cluster Dominated Rheology of SWNTs based Polymer Nanocomposites Tirtha Chatterjee, Ramanan Krishnamoorti An outstanding issue in the field of polymer nanocomposite has been to separate and quantify the roles of polymer-particle, particle-particle and polymer mediated particle-particle interactions in controlling properties of such systems. In this study, we have attempted to understand the linear and non-linear rheological properties of the nanocomposites in terms of their structure and the underlying polymer-particle interactions. The network structure of single walled carbon nanotube in polymeric matrices is investigated using SANS and USANS(The neutron scattering work utilized facilities supported in by the NSF under Agreement No. DMR-0454672). In their quiescent state, a hierarchical fractal network made of aggregated flocs or clusters inside which tubes overlap each other to form dense mesh, dominates the nanoparticle structure. We have identified that the floc-floc interactions provides the stress bearing capacity and are responsible for strong modulus scaling of these systems. The interaction is inversely related to the particle dispersion state which influences the absolute values of the viscoelastic parameters. More interestingly, under steady shear these nanocomposites show network independent behavior. [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q18.00002: Preparation and Characterization of Polypropylene / MWCNT Dispersions Saswati Pujari, Wesley Burghardt, Thillaiyan Ramanathan, L. Catherine Brinson, Kosmas Kasimatis, John Torkelson Dispersions of multiwall carbon nanotubes in polypropylene are prepared via melt batch mixing and solid-state shear pulverization, and characterized via linear viscoelastic measurements, SEM, polypropylene crystallization kinetics, electrical conductivity and dynamic mechanical analysis. Increasing the intensity or duration of the melt mixing leads to higher dispersion, evidenced by increases in a low-frequency elastic plateau and accelerated PP crystallization kinetics attributed to more effective heterogeneous nucleation. The sample prepared by pulverization exhibits faster crystallization kinetics than any of the melt blended samples, but in contrast shows no measurable low frequency elastic plateau. Electrical conductivity measurements similarly show higher conductivity in melt blended samples. This may be attributable to scission of the nanotubes during pulverization, such that even well dispersed tubes cannot form an entangled network at a given concentration. At the same time, pulverized composites show marked increase in stiffness at low loadings, indicating that tube scission due to pulverization is not catastrophic. Conversely, long mixing times required in melt blending cause substantial thermal degradation of the polymer matrix with a corresponding loss of mechanical properties. [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q18.00003: Improving the Dispersion and Interfaces in Polymer-Carbon Nanotube Nanocomposites by Sample Preparation Choice Chang-Uk Lee, Mark Dadmun Polymer nanocomposites composed of poly(styrene-\textit{ran-}vinyl phenol) (PSVPh) copolymers and 5 wt {\%} multi-walled carbon nanotubes (MWNTs) were prepared by three different methods, including melt-mixing and solution casting. The MWNTs were either oxidized to incorporate oxygenated defects or utilized as received. The mechanical properties of the nanocomposites were measured by DMA, and the extent of intermolecular hydrogen bonding between MWNTs and PSVPh was quantified by IR. Our DMA results suggest that melt-mixing leads to more stable morphologies of the final nanocomposites than solution casting. Additionally, the IR analysis of the nanocomposites indicates melt-mixing can result in the formation of more intermolecular hydrogen bonding between the MWNTs and PSVPh than solution casting, and thus suggests that melt-mixing leads to nanocomposites with more reproducible mechanical properties than solution casting. Our results thus provide guidelines to realize improved morphologies and properties of polymer carbon nanotube nanocomposites by optimizing intermolecular interactions between MWNTs and polymers using processing. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q18.00004: Enhancing Dispersion and Properties of SWNT-polymer Nanocomposites by Controlled Non-covalent Interactions Dias Linton The enhancement of the dispersion and properties of singlewalled carbon nanotubes in a polymer nanocomposite via non-covalent interaction is studied. 1{\%} w/w SWNT are dispersed in random copolymers of methyl methacrylate and 2-(dimethylamino)ethyl methacrylate (DMAEMA), where the composition of the copolymer varies from 0{\%} to 50{\%} DMAEMA. The resulting nanocomposites indicate the existence of interactions between the carbon nanotube and polymer matrix by a shift of the D* peak position ($\sim $2600-2700 cm$^{-1})$ of the polymer nanocomposite. The copolymer with 30{\%} DMAEMA shows the smallest shift, suggesting that the nanotubes are debundled, where it is expected that this non-covalent interaction originate from the tertiary amino group in DMAEMA by formation of an electron-donor interaction with the SWNT. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q18.00005: Spectroscopic Investigations on Polypropylene -- Carbon Nanofibers Composites Mircea Chipara, Jones Brian, Karen Lozano, John R. Villareal, Alin Cristian Chipara, Anna Hernandez, Magdalena Dorina Chipara, David J. Sellmyer Nanocomposites were obtained by high-shear mixing of isotactic polypropylene (Marlex HLN-120-01; Philips Sumika Polypropylene Company) with various amounts of vapor grown carbon nanofibers (PR-24AG; Pyrograf Products, Inc) by utilizing a HAAKE Rheomix at 65 rpm and 180 $^{\circ}$C for 9 min followed by an additional mixing at 90 rpm for 5 min. Composites loaded with various amounts of vapor grown carbon nanofibers have been prepared. Wide angle X-Ray scattering investigations focus on the effect of carbon nanofibers on the crystalline phases of polypropylene and on the overall crystallinity degree of the polymeric matrix. Raman spectroscopy analysis concentrates on D and G bands. X-band electron spin resonance investigations aim at a better understanding of the purity of carbon nanofibers and of the ratio between conducting and paramagnetic. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q18.00006: Simulation of Electrical Conductivity of Composites Containing Uniaxially-Aligned, Finite Rods above the Percolation Threshold Sadie White, Brian Didonna, Lai-Ching Chou, Tom Lubensky, Karen Winey Simulations probed the percolation behavior of composites containing isotropic and uniaxially aligned, conductive, cylindrical fillers with aspect ratios of 10, 20, and 80. In addition, the random resistor network model was used to calculate the electrical conductivity of these composites at concentrations above the percolation threshold. The observed trends compare favorably with our experimental results in carbon nanotube and carbon nanofiber polymer nanocomposites. For example, the electrical conductivity is highest when the fillers are slightly uniaxially aligned in both simulation and experimental results. In addition, the critical degree of filler orientational order at which the electrical conductivity abruptly decreases was found to depend on rod aspect ratio along the same trends noted for experimental data. This work represents the first simulations of electrical conductivity above the filler percolation threshold for oriented and isotropic composites containing permeable, conductive, finite-sized rods, and is pertinent to the rapidly expanding field of polymer nanocomposites. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q18.00007: Polymer Dynamics in Single Wall Carbon Nanotube / Polystyrene Nanocomposites Minfang Mu, Russell Composto, Nigel Clarke, Karen Winey Polymer nanocomposites provide access to new regimes of polymer dynamics in which the impenetrable filler particles are comparable to and frequently smaller than the end-to-end distances of the polymer. In this study, single wall carbon nanotubes (SWCNTs) / polystyrene (PS) nanocomposite was prepared by a coagulation method. Rheological properties were measured in the linear viscoelastic regime and tracer diffusion coefficients were determined using an elastic recoil detection (ERD) method. The tracer diffusion coefficient first decreases and then increases with increasing SWCNT loading. Across this same range of filler concentration, the plateau modulus and the cross-over frequency are approximately constant. The transition from decreasing to increasing tracer diffusion corresponds approximately with the onset to rheological percolation and appears to increase with decreasing matrix molecular weight. A model is under development to describe the polymer dynamics is polymer nanocomposites. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q18.00008: Periodic Patterning of Polyethylene Block Copolymers Directed by Carbon Nanotubes Bing Li, Lingyu Li, Christopher Li Periodic patterning on one-dimensional carbon nanotubes (CNTs) is of great interest from both scientific and technological points of view. Although both chemical and noncovalent CNT functionalization have attracted extensive attention during the past decades, very few efforts have been dedicated to periodic patterning on individual CNTs. Recently, we demonstrated using a controlled polymer solution crystallization method to achieve periodically decorated CNTs. Polyethylene (PE) and Nylon 6,6 single crystals were controlled to grow on CNTs, forming a unique nanohybrid shish kebab (NHSK) structure. The periodicity was, however, not uniform because the concentration governed growth mechanism. Here we report improving the regularity of the periodic NHSK structures by employing block copolymers (BCPs), poly(ethylene-b-ethylene oxide) (PE-b-PEO), to produce NHSKs on CNTs. By crystallizing BCP on CNTs via thin film crystallization, periodic structures were generated along CNTs. The characteristic microphase separation of BCP was clearly observed, forming the striking alternating stripes perpendicular to the axes of individual CNTs. Furthermore, by functionalizing the PEO blocks with thiol groups, Au nanoparticles were subsequently immobilized on the PEO domains of the hybrid nanomaterial, replicating the periodic patterns. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q18.00009: Clay dispersion and interaction effects in supercritical CO2 processed polystyrene-clay nanocomposites. R. Kannan, R. Bellair, M. Manitou, S. Horsch, E. Gulari The major challenges in producing high performance nanocomposites are in effectively dispersing the clay layers in the matrix and in promoting interactions at the polymer-clay interface. A novel process exploiting the properties of supercritical CO2 (scCO2) has recently been shown to be an effective means to delaminate clay platelets with or without a polymer matrix present. In this study we demonstrate the ability of scCO2 to exfoliate commercial, organically modified clay and to produce nanocomposites with significantly improved properties. Rheology shows solid-like behavior in loadings as low at 2wt{\%}, and elastic modulus improvements as high as 2.5 orders of magnitude in 5wt{\%} nanocomposites. TEM images indicate a rich morphology for scCO2 processed composites, with a large fraction of dispersed platelets. In contrast, solution blended control samples display much larger tactoids and a lack of individual clay sheets. Unexpectedly, XRD shows a strong intercalation peak that is unchanged between solvent blended and scCO2 processed composites even though TEM and rheology show large differences in the samples. Effects of scCO2 soaking, depressurization rate, solvent, and clay dispersion are investigated to better understand the mechanisms behind the significant rheological enhancements. The degree of enhancement in the properties appears to be not only dependant on the degree of dispersion, but also on how polymer-clay interactions are promoted by the supercritical fluid. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q18.00010: Surface characterization of Laponite-Poly(ethylene oxide) nanocomposite films Eduard A. Stefanescu, Ioan I. Negulescu, William H. Daly, Bogdan C. Donose, Anh V. Nguyen The aim of the present work is to understand how ionic strength of precursor polymer-clay gels influences the final structure of multilayered nanocomposite films fabricated from such gels. We have prepared three aqueous precursor gels containing 3wt\% LRD, 2wt\% PEO and 95wt\% water, in which the salt concentrations were kept at 0X, 1X and 3X with X = 5.57 *~10$^{- 5}$ g NaCl/mL. The Laponite (LRD) - PEO multilayered films (LRD60\%-PEO40\%) were fabricated by manually spreading and drying each gel on a glass slide. Prior to the AFM measurements the polymer-clay composite films where freeze-dried by immersion in liquid nitrogen until they were totally degassed. Frozen samples where then fractured and left for additional drying for 24 hours in a desiccator. The imaging procedure employed here was tapping-mode AFM. Distinct features were identified on the layered transversal surface of the films, and were attributed to the different salt concentrations in the samples. Addition of salt increases the adhesion and compactness properties of the nanoparticles, as a more uniform side surface can be observed after freeze-fracturing the materials. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q18.00011: Viscoelastic Behavior of Polyhedral Oligomeric Silsequioxane (POSS)-Filled Epoxy Matrices Qingxiu Li, Stephen Hutcheson, Gregory McKenna, Kadine Mohomed, Sindee Simon Large residual stress in fiber-filled thermosetting resin composites is a major technological problem encountered during the development and applications of these materials. Strategies to reduce the residual stress of the composites include lowering the thermal stress coefficient by lowering the product of coefficient of thermal expansion and shear modulus ($\alpha $G) and/or lowering the thermal pressure coefficient by lowering the product of coefficient of thermal expansion and bulk modulus ($\alpha $K). Nanoparticles are unique fillers for resins used in composites and generally result in improved moduli and reduced linear thermal expansion coefficient (CTE); however, the effect on the thermal residual stresses has not been addressed. This paper develops epoxy/polyhedral oligomeric silsequioxane (POSS) nanocomposites with mitigated residual stress. The effect of functionalized POSS loading on the viscoelastic properties, linear coefficient of thermal expansion, and glass transition temperature of epoxy/POSS nanocomposites is investigated. The outcome of the current study provides fundamental knowledge to the design criteria for nanoparticle-filled polymer matrix composites with mitigated residual stress and high shear properties. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q18.00012: Spectacular Improvements in Toughness of Poly(lactide-co- glycolide), PLG, Nanocomposites Haris Retsos Poly(lactide-co-glycolide) (PLG), a biocompatible, biodegradable polymer, was toughened by adding small amounts of surface modified clay nanoparticles. The elongation of nanocomposite during tensile tests is highly increased in comparison with that of the pure polymer, while we observed also an increase in modulus. Electron microscopy, X-ray scattering, rheometry and dielectric spectroscopy were used to investigate the toughening mechanism. It is revealed that multiple crazing occurs in the clay nanocomposite right after the yield point. The fibrils in the crazes have the ability to be significantly extended before fracture, which translates into a dramatic increase in elongation before failure. Rheological studies show that the nanoclay particles act as physical crosslinks that increase the fracture strength of the polymer. Small angle x-ray scattering used to investigate any orientation of nanoparticles during deformation and their mobility provided by the polymer matrix. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q18.00013: ABSTRACT WITHDRAWN |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q18.00014: Rheological Studies on the Quasi-quiescent Crystallization of Polypropylene Nanocomposites Xia Dong, Tongchen Sun, Fenghua Chen, Ke Wang, Qiang Fu, Charles C. Han Isothermal crystallization of isotactic polypropylene/organic modified montmorillonite binary nanocomposite (iPP/OMMT) and iPP/OMMT/PEOc (poly(ethylene-co-octene)) ternary nanocomposites were investigated by polarized optical microscope, rheometer and scanning electron microscope. The modulus change which accompanying the crystallization growth process can be clearly divided into three stages. It was found that there were different effects due to different nucleation processes (heterogeneous nucleation effect due to the presence of OMMT and the concentration fluctuation assisted nucleation effect due to the liquid-liquid phase separation), entanglement effect of iPP and PEOc chains in the ternary nanocomposite and hydrodynamic flowing effect of the amorphous phase in the three stages. [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q18.00015: Effect of additive particles on the crystallization of homopolymers Ashok Dasmahapatra, Guruswamy Kumaraswamy, Hemant Nanavati The effect of additive particles on polymer crystallization has been investigated using lattice dynamic Monte Carlo simulation. Additives are compatible with the polymer matrix (viz. there is an attractive ``sticky'' interaction between additives and monomers) and, additive particles have the same size as a monomer. Polymer crystallization is strongly influenced by both additive fraction, x and the additive-monomer interaction strength, $\lambda $. With increase in x or $\lambda $, the diffusivity of the polymer chain decreases dramatically. The decrease in chain mobility correlates with lower crystallinity and smaller crystallite sizes. Further, the presence of additive particles also dramatically suppresses the peak in specific heat during crystallization. Structural analysis shows that the additive particles are well-dispersed in the polymer matrix -- they are surrounded by both crystalline and non-crystalline chain segments, the relative proportions of which depend on x and $\lambda $. We show that sticky additive particles suppress crystallization. [Preview Abstract] |
Session Q19: Physics Education: In and Out of the Classroom
Sponsoring Units: FEdChair: Shelly Hynes, Louisiana School for Math, Science and the Arts
Room: Morial Convention Center 211
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q19.00001: Changes in Student Models of Electric Current and Electric Potential in Activity-Based Physics C. Trecia Markes With a three-year FIPSE grant, it has been possible at the University of Nebraska at Kearney (UNK) to develop and implement activity-based introductory physics at the algebra level. It has generally been recognized that students enter physics classes with misconceptions about current and potential difference in simple series and parallel circuits. Many of these misconceptions persist after instruction. Pretest and posttest responses on the ``Electric Circuit Concept Test'' (ECCT) are analyzed to determine the models that students use. Responses are divided into expert model (correct answer), one or more student models (approximately equally common incorrect answers), and null model (all other answers) categories. Students are categorized as being in an expert state (mostly expert model answers), a mixed state (a combination of expert model answers, student model answers, and null model answers), or a student state (mostly student model answers). The change (if any) of state is identified for each student. The changes are analyzed to determine the effectiveness of activity-based instruction. [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q19.00002: Do physics undergraduate students understand their strengths and weaknesses? R. Michalak Physics and non-physics majors self evaluation and confidence responses to exams in undergraduate physics are compared to the student's actual success in the exams. The confidence was sampled in a variety of freshman and sophomore physics courses before and after the exams were taken. Undergraduate populations fall into two sub-groups: Students who do have a general awareness whether they have comprehended a topic and students who have not. The divide is all the more surprising as there are students who excel and have no or little confidence that they do excel and as there are students who fail completely and do not see it coming. There is little change in student's understanding of their comprehension during a given term or between terms. [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q19.00003: Experimenting with the virtual environment Moodle in Physics Education Maria In\^es Martins, Adriana Dickman The master's program in Physics Education of the Catholic University in the state of Minas Gerais, Brazil, includes the discipline ``Digital technologies in Physics education.'' The main goal of this discipline is to discuss the role of Information and Communication Technology (ICT) in the process of learning-teaching science. We introduce our students to several virtual platforms, both free and commercial, discussing their functionality and features. We encourage our students to get in touch with computer tools and resources by planning their own computer based course using the Moodle platform. We discuss different patterns of virtual environment courses, whose proposals are centered mainly in the students, or teacher-centered or even system-centered. The student is free to choose between only one topic and a year course to work with, since their interests vary from learning something more about a specific subject to a complete e-learning course covering the entire school year. (The courses are available online in the address sitesinf01.pucmg.br/moodle. Participation only requires filling out an application form.) After three editions of this discipline, we have several courses available. We realize that students tend to focus on traditional methods, always preserving their role as knowledge-givers. In conclusion, we can say that, in spite of exhaustive discussion about autonomy involved with ICTs abilities, most of the students used the new virtual medium to organize traditional teacher-centered courses. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q19.00004: Writing and representation in liquid crystal physics research Chad Wickman, Christina Haas, Peter Palffy-Muhoray Public understanding of science is often shaped by semiotic systems---linguistic, mathematic, graphic, pictorial---deployed in the textual presentation of scientific findings. Nowhere is this more apparent, perhaps, than in recent debates over climate change where non-linguistic communication has played an integral role in shaping policy decisions. This is one example of many, but it speaks to the need for research that examines how working scientists disseminate knowledge to expert and non-expert alike. Based on the study of text production in liquid crystal physics research, I will discuss the way in which physicists utilize multiple semiotic systems in their research and publications. Findings suggest that shared meanings are often created through a variety of semiotic forms---from linguistic script to equations to graphs to diagrams---and that these forms offer specific meaning potentials for communicating knowledge to different audiences. Ultimately, I argue that an improved understanding of scientific literacy practices is key to the effective communication of science to various constituencies. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q19.00005: Modeling cell membrane action potentials with RC circuits in a general physics teaching laboratory M.S. Rzchowski Faculty in the physics department at the University of Wisconsin have been working in collaboration with colleagues in biological sciences to modify a large calculus-based general physics service course populated primarily by students pursuing a career in the biological sciences. Part of this effort involves introducing examples and laboratory experiments to illustrate basic physics ideas that are central to important topics in biology. We will discuss one modification that has worked well: a teaching laboratory experiment where students build an approximation of an axon cell membrane from resistors and capacitors, and measure the speed and shape of a pulse propagating along the membrane. It uses the same equipment, and teaches the same physics concepts, as a traditional RC circuits laboratory, but in a way that demonstrates connections to the students' major field. It also exemplifies a complex problem that illustrates the idea of a model, and teaches methods for applying basic physics concepts to systems that are not immediately solvable. We discuss an assessment of the students' interest level and understanding in relation to our general goal of developing in students the ability to approach complex problems using physical reasoning. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q19.00006: Two new experiments in physics based on electrospun polymer nanofibers Nicholas Pinto Nanoscience and nanotechnology have been the focus of much scientific research worldwide and has great potential in enhancing the way we look at all of our present day electronic devices. If only part of this potential can be made into reality, the results will be phenomenal. Given the vast financial and scientific investment in nanotechnology that is bound to impact our future, it is important to expose undergraduate Physics and Engineering students to this field of study early in their career. Two experiments related to nanoscience that are currently part of our undergraduate Physics program will be presented. A simple to build and to operate electrospinning apparatus produces conducting polymer nanofibers that are then used in device fabrication. The devices include a nanoresistor and a Schottky nanodiode and yield themselves to straightforward data acquisition and analysis. A modification of the sample chamber can convert one of the experiments into a supersensitive alcohol vapor sensor. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q19.00007: Animation of Early Cosmological Models Gregory Topasna Early Greek and renaissance models of the solar system are usually presented as diagrams in most astronomy textbooks. While the intent of such diagrams is to illustrate how these models attempted to account for the motion of the planets, the static nature of the diagrams typically leaves students nonplussed and are often only viewed as mere curiosities. However, animating these earlier models vividly demonstrates the early attempts at cosmology and can reflect some of the accuracies our ancestors were able to achieve. While the complexity of some models may at first seem to make animation a difficult task, we show how such models can be written in a basic mathematical form suitable for animation in FlashMX. While these models are not extremely precise they are accurate enough to show the motion of celestial bodies and have an impact that static images alone can not achieve. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q19.00008: Revitalizing the Advanced Lab Course David Marx As a hard science, physics is based in observation and experiment. Training of physicists at the undergraduate level must include the recognition that students need a solid foundation in experimental techniques used in the various sub-fields of physics, best practices, and a thorough understanding of data analysis and uncertainty. Recently, recognition of this importance has resulted in the creation of an AAPT task force on the advanced laboratory. An examination of advanced laboratory courses from dozens of universities from across the United States has been conducted by the author, the results of which will be presented. In addition, knowledge gained from this examination has recently been used in revitalizing the Experimental Physics course at Illinois State University. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q19.00009: Teaching a laboratory-intensive online introductory electronics course* Mark Markes Most current online courses provide little or no hands-on laboratory content. This talk will describe the development and initial experiences with presenting an introductory online electronics course with significant hands-on laboratory content. The course is delivered using a Linux-based Apache web server, a Darwin Streaming Server, a SMART Board interactive white board, SMART Notebook software and a video camcorder. The laboratory uses primarily the Global Specialties PB-505 trainer and a Tenma 20MHz Oscilloscope that are provided to the students for the duration of the course and then returned. Testing is performed using Course Blackboard course management software. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q19.00010: Harnessing the Efficiencies of Industry-Standard Tools in the Electronics Laboratory Matthew Vonk Powerful and flexible computer based tools have generated impressive productivity gains in the industrial sector. These innovations allow users to simulate the functionality of applications before they are built, to create custom integrated circuits on-the-fly, and to automate data acquisition. While these tools promise similar efficiency gains to student learning in educational settings, many physics classes have been slow to exploit them. This talk will illustrate how a number of these advances have been incorporated into an undergraduate electronics laboratory class. Special emphasis will be given to those tools that are low-cost and intuitive. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q19.00011: The Complete Undergraduate Research Experience Inspired by NASA's Microgravity University Timothy Ritter In a typical undergraduate research experience the student is often assigned a small portion of a more comprehensive endeavor. While they may complete their assigned portion of the project, the larger investigation is usually one that was active prior to the student's arrival in the lab and will continue once the student has left. What we present here are the results, lessons, and experiences from a multidisciplinary, multicampus, undergraduate microgravity research program. This unique experience requires a team of students to go from the idea stage to final report writing in one year. During the entire process the team is also conducting a vigorous outreach program. The research is conducted as part of NASA's highly competitive Reduced Gravity Student Flight Opportunities Program. Because of its unique features, we believe our program provides the student with a broader, more comprehensive, and more stimulating research experience than a traditional undergraduate research experience. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q19.00012: Imhotep's Legacy After School Science Enrichment Program for African Nova Scotian Learners Kevin Hewitt, Emmanuel Nfonoyim, Barb Hamilton-Hinch, Margo Hampden, Wayn Hamilton Imhotep's Legacy After-School Project (ILASP) is a provincial science and engineering after-school enrichment program established in 2003. It aims to redress the under-representation of African Canadians in postsecondary science studies. ILASP offers African Nova Scotian participants in Grade 7, 8 {\&} 9 important academic and social opportunities at no out-of-pocket cost. It is guided by the fact that young learners will be motivated to regularly attend a program that features high-quality, interactive and fun learning activities that are distinct from but connected to their school curricula. The program is structured to sustain contact with the learners over three years (grade 7, 8, 9) during a crucial phase in their academic careers. University science and engineering students, acting as mentors, foster positive social interactions with young learners and deliver science enrichment activities in a participatory and casual atmosphere. Reasons for the high retention rate among participants will be discussed. Visit our website at http://imhotep.dal.ca/. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q19.00013: Teaching About Variables in Magnetism to Fifth and Sixth Graders Michael Birnkrant, Matthew Cathell, Priscilla Blount, Jean Robinson, Adam Fontecchio, Eli Fromm Middle school students are very familiar with using computers, but many are unaware of how a computer stores information. We develop a module to explain how computers store and retrieve information from a hard drive. The module was part of the yearlong NSF GK-12 outreach program between Drexel University and local Philadelphia middle schools. The module complements the variables portion of their science and math curriculum. The module introduces magnetism as well as the link between the physical state of a hard drive and the picture on the screen. This module and others have contributed to improvements both in their classes and on their benchmark exams. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q19.00014: Use of media in introductory physics courses and public outreach Khazhgery Shakov, Zalimgery Shakov Making the material presented interesting and exciting for the students has always been one of the main challenges in teaching introductory physics to students who have little or no background in physics (e.g. K-12 or undergraduate college). Many of the traditional teaching strategies consider physical systems (real or fictional) where the ``level of distraction'' is intentionally minimized or eliminated for the sake of better clarity. While it certainly allows a student to focus on important principles, it often leads to an impression that physics (and science in general) mostly operates with ``artificial'' systems that are not immediately relevant to everyday life. One of the ways to address this problem is to incorporate different forms of media that would ``bring physics to life''. We discuss how one can use fragments of popular movies to enhance students' interest in the subject. [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q19.00015: Analytical Animations: New Views of Physics Doug Sweetser Analytical animation, like analytic geometry before it, opens new ways to look at physics. Systems with both spin 1/2 and spin 1 symmetry can be animated. The groups U(1), SU(2), and SU(3) of the standard model are viewed as animations, and together make visual sense. A new perspective on gamma matrices, a tool used in quantum field theory, is easy to understand based on images. All software used is open source. [Preview Abstract] |
Session Q20: Focus Session: Engineering Interfaces for New Materials II: Surfaces
Sponsoring Units: DMP DCMPChair: Ted Einstein, University of Maryland
Room: Morial Convention Center 212
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q20.00001: Impurity Decoration for Crystal Shape Control: C$_{60}$ on Ag(111) T.J. Stasevich, C.G. Tao, W.G. Cullen, E.D. Williams, T.L. Einstein The decoration of hexagonal Ag/Ag(111) monolayer islands by chains of C$_{60}$, observed via STM at 300K, dramatically changes their shape and fluctuations. We tune coverage so that a single C$_{60}$ chain fully decorates each Ag island boundary.\footnote{C.G. Tao et al., PRB 73, 125436 (2006); Nano Letters 7, 1495 (2007).} The C$_{60}$-induced rounding appears due to competing energetic and entropic effects.\footnote{T.J. Stasevich \& TLE, (SIAM) Multiscale Model. Simul. 6, 90 (2007)} We estimate the Ag - C$_{60}$ and C$_{60}$ - C$_{60}$ attractions as $\sim$0.13 eV and $\sim$0.04 eV, respectively.\footnote{T.J. Stasevich et al., submitted.} The edge fluctuations are remarkable: 1) C$_{60}$ decoration does not notably impede the step-edge diffusion (SED) and 2) while the bare-island fluctuations are driven by SED, the decorated island has the signature of non-conserved dynamics, even though the C$_{60}$s remain at the island edge. We suggest that rapidly diffusing Ag atoms randomly attracting the nearby C$_{60}$s. Generalizations of our model show that both spherical and rectangular decorating molecules will similarly lower the energy of highly-kinked boundaries, leading to similar island shape changes. [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q20.00002: Optical, Structural and Electrochemical Properties of CeO$_2$--Al$_2$O$_3$--SiO$_2$ Thin Films Dursen Saygin Hinczewski, Michael Hinczewski, Idris Sorar, Esat Pehlivan, Fatma Z. Tepehan, Galip G. Tepehan CeO$_2$ thin films can be used as counter-electrodes in electrochromic devices, but have the disadvantage of slow reaction kinetics. Thus research has shifted to composite CeO$_2$ films as more promising ion-storage candidates. In this work, we examine the sol-gel coating and characterization of CeO$_2$--Al$_2$O$_3$--SiO$_2$ transparent thin films deposited onto glass microslides and indium-tin-oxide-coated conducting glass. We investigate the evolution of the surface morphology, and the optical, structural and electrochemical properties of the films with varying Si-Al-Ce mol ratios. In particular we find the formation of novel complex phase-segregated structures at the surface, which have the potential for enhancing Li ion insertion/extraction. [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q20.00003: Organic coverage of the silicon (100) surface: first-principles calculations Giovanni Cantele, Ivo Borriello, Domenico Ninno Interfacing semiconductor surfaces with organic molecule adsorbates is one of the most challenging aspects of the modern surface and interface engineering. Controlled and periodic surface coverage can have important implications in lots of technological applications, such as molecular sensing, molecular electronics, etc. One of the widely investigated surfaces is the silicon $<$100$>$. Such a surface shows a periodic arrangement of silicon dimers (induced by reconstruction) whose bonding has been extensively debated. It turns out that its properties are similar to those of a double carbon-carbon bond, and it is therefore suitable for attaching organic molecules, especially those containing a double bond. In this study we theoretically investigate from first principles the adsorption of ethylene, cyclopentene and a class of its derivatives on the Si $<$100$>$ surface, discussing the implications in tailoring the surface properties, such as the electron affinity and work function. Each molecular adsorbate induces a dipole layer on the surface, whose magnitude depends on the considered molecular species. Our findings demonstrate that, for this class of systems, it is not enough the knowledge of the isolated molecule properties for predicting the properties of the surface-adsorbate complex. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q20.00004: {\it Ab initio} calculations of BaTiO$_3$, PbTiO$_3$ and SrTiO$_3$ (001) and (011) surfaces Roberts Eglitis, David Vanderbilt We present results of calculations of surface relaxations and rumplings\footnote{R. I. Eglitis and D. Vanderbilt, Phys. Rev. B {\bf 76}, 155439 (2007).} for the (001) and (011) surfaces of ATiO$_3$ perovskites (A=Ba, Pb, and Sr) using a hybrid B3PW description of exchange and correlation. On the (001) surfaces, we consider both AO and TiO$_2$ terminations. In the former case, the surface AO layer is found to relax inward for all three materials, while outward relaxations of all atoms in the second layer are found for both kinds of (001) terminations. The surface relaxation energies of AO and TiO$_2$ terminations are found to be comparable with each other for all three materials. For (011) surfaces, we consider terminations on a TiO layer, an A layer, or an O layer. The surface relaxation energies for BaTiO$_3$, PbTiO$_3$ and SrTiO$_3$ (011) surfaces for all terminations are considerable larger than for (001) surfaces. Among the (011) surfaces, the relaxation energy is much larger for the TiO-terminated surface than for the Ba- or Pb-terminated surfaces for the BaTiO$_3$ and PbTiO$_3$ perovskites. We predict a considerable increase in the Ti-O chemical bond covalency near the (011) surfaces as compared to both the bulk and the (001) surfaces. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q20.00005: Structure of the rutile TiO$_2$(011)-(2x1) surface Navid Khorshidi, Andreas Stierle, Vedran Vonk, Claus Ellinger, Helmut Dosch, Ulrike Diebold, Xueqing Gong, Annabella Selloni TiO$_2$ has various applications in technology and is one of the most investigated metal oxides. It is used in solar cells and its photocatalytic activity makes an understanding of the structure of diverse surface orientations desirable. Although there are many studies on TiO$_2$ surfaces, the (011) surface has been rarely investigated. First principal DFT calculations predict the (011)-(1x1) face to have the third lowest energy and in a Wulff Construction a large part of the surface is (011) oriented. TiO$_2$ nano particles exhibit preferentially (011) oriented facets. Therefore a structure model of this surface is required to understand the photocatalytic processes on an atomic scale. We have investigated the TiO$_2$(011)-(2x1) surface using Surface X-Ray Diffraction (SXRD), Scanning Tunneling Microscopy (STM) and Low Energy Electron Diffraction (LEED). From our data we are able to derive a novel model for the (011) surface in combination with DFT calculations. The new model has a much lower surface energy than the one suggested previously and fits the X-Ray data very well. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q20.00006: Structure, Reactivity, and Lead Sorption of Hydrated Alumina and Hematite Surfaces Sara Mason, Anne Chaka It is well known that polarity and structure of oxide surfaces have significant impacts on reactivity. Experimental and theoretical studies at solid-aqueous interfaces have revealed that hydrated oxide surface morphology can vary significantly from surfaces under high vacuum conditions. We apply a combination of density functional theory simulations and {\em ab initio} thermodynamics to hydrated structures of $\alpha$-Al$_{2}$O$_{3}$ and $\alpha$-Fe$_{2}$O$_{3}$ surfaces. While geometrically isostructural in the bulk, these two oxides have sharp contrasts in electronic structure and can have thermodynamically stable surface terminations which differ in both the number and type of exposed functional groups. We use the environmentally relevant interaction of Pb(II) with the hydrated surfaces to explore relationships between reactivity and both surface structure and identity. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q20.00007: Development of the EAM Potential for Fe-C Alloy Systems Bohumir Jelinek, Jeff Houze, Sungho Kim, Amitava Moitra, Laalitha Liyagne, Mark Horstemeyer, Seong-Gon Kim The ab-initio calculations based on density functional theory (DFT) are performed for Fe and C in their ground state crystal structures. Heats of formation are then calculated for different Fe-C alloy compounds. The lattice constant (volume), bulk modulus and shear moduli for cementite are determined from the total energy calculations. These material parameters are then used to construct the Spline-based Embedded-Atom Method (Spline EAM) potentials for Fe-C alloy systems. The results of the new potential are compared with the results of ab-initio calculations. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q20.00008: Embedded Atom Method (EAM) interatomic potential for Zinc (Zn) Amitava Moitra, Sungho Kim, Jeffry Houze, Bohumir Jelinek, Laalitha Liyanage, Mark F. Horstemeyer, Seong-Gon Kim We developed a new spline-based embedded-atom method (EAM) interatomic potential for Zinc by matching forces to those of ab-initio calculations. The material parameters such as cohesive energy, equilibrium atomic volume, and bulk modulus were used to optimize the potential. The applicability of the new potential was demonstrated by performing atomistic simulations for different surfaces. The formation energies, and various point defects were also calculated. The applicability of this EAM potential to the stability analysis of small clusters was also tested. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q20.00009: Calculation of Absorption Energies Using EAM Potential for Al-Mg alloy systems Laalitha Liyanage, Bohumir Jelinek, Sungho Kim, Mark F. Horstemeyer, Seong Gon Kim Spline-based embedded-atom method (EAM) interatomic potentials for Al-Mg alloy systems are developed using existing EAM potentials. The lattice constant, bulk modulus and shear modulus for the alloy are determined to demonstrate the validity of the new potential. The absorption energies of Mg atoms on Al surfaces are also calculated and compared with the results of ab-initio calculations. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q20.00010: Ab initio study of the plutonium dioxide surfaces: role of electronic correlations Gerald Jomard, Francois Bottin This {\it ab initio} study is performed in the framework of density functional theory (DFT) using the projector augmented wave method. Introducing the on-site Coulomb repulsion term U in the calculations, we found equilibrium properties of both PuO$_2$ and Pu$_2$O$_3$ in good agreement with experiments. At odds with conventional DFT calculations, these two compounds are no more metallic and recover their insulating behavior with an antiferromagnetic order. As concerns the surface properties of the plutonium dioxide PuO$_2$, we perform an extensive study of eleven (1$\times$1) (110), (100) and (111) terminations then compare their thermodynamic stability by computing their surface Grand potential. Whereas conventional DFT calculations predict that a few uncompensated polar terminations can be stable, in the stability domain of the PuO$_2$ compound, the inclusion of the electronic correlations modify significantly these conclusions. We discuss this result by connecting the surface electronic structure to the polar or non-polar character of the termination. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q20.00011: Effect of Mg doping on the Structure and Reflectivity of Alumina surfaces Timothy Pennycook, Juan C. Idrobo, Kalman Varga, Sokrates T. Pantelides Mg is used in the fabrication of Al alloys to increase the strength of the material. In typical applications, a layer of alumina is present on the surface. The high diffusivity and chemical reactivity of Mg means that Mg can migrate from the bulk alloy to the alumina film and the surface, where it can affect the structural and optical properties of the material. The doping of Al alloys with Mg is known to cause ``darkening'' and affect the coloration of the material. We will report results of first principles density functional theory calculations that explore the segregation modes of Mg in the near-surface region of alumina and the corresponding effect on optical properties, \textit{i.e.}, reflectivity. This work is supported in part by NSF grant DMR-0513048 and ALCOA Inc. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q20.00012: Down the primrose path of dalliance: how iterative structural determination routines for thin films may lead to partial or false solutions Naji Husseini, Codrin Cionca, Yizhak Yacoby, Roy Clarke Iterative methods are frequently used to solve thin film structures. Convergence, however, may terminate at partial or even incorrect solutions. Coherent Bragg Rod Analysis (COBRA), a direct phase retrieval method with minimal iterations, has had recent success with buried interfaces in perovskite oxides and semiconductors. Here, we investigate the role of iterations in COBRA by means of simulations on a model lattice-matched system of PbTiO3 on SrTiO3 with realistic stochastic noise. Out-of-plane atomic displacements were added in various monolayers of the PbTiO3 film. With increasing iterations, the positions of the heaviest element (Pb) became more accurate at the expense of the lighter elements -- particularly the oxygen sublattices. In addition, the error in the central monolayers of the film decreased while the error at both the film-substrate and film-air interface increased. Our results provide new insights on the influence of uncertainties in measuring subtle structural details at interfaces. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q20.00013: Effect of surface nanostructure on temperature programmed reaction spectroscopy Michael Rieger, Jutta Rogal, Karsten Reuter Using the catalytic CO oxidation at RuO$_2$(110) as a showcase, we employ first-principles kinetic Monte Carlo simulations to illustrate the intricate effects on temperature programmed reaction (TPR) spectroscopy data brought about by the mere correlations between the locations of the active sites at a nanostructured surface. Even in the absence of lateral interactions, this nanostructure alone can cause inhomogeneities that cannot be grasped by prevalent mean-field data analysis procedures, which thus lead to wrong conclusions on the reactivity of the different surface species. The RuO$_2$(110) surface studied here exhibits only two prominent active sites, arranged in simple alternating rows. Yet, the mere neglection of this still quite trivial nanostructure leads mean-field TPR data analysis [1] to extract kinetic parameters that are in error by several orders of magnitude and that do not even reflect the relative reactivity of the different surface species correctly [2].\newline [1] S. Wendt, M. Knapp, and H. Over, JACS 126, 1537 (2004).\newline [2] M. Rieger, J. Rogal, and K. Reuter, Phys. Rev. Lett (in press). [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q20.00014: NMR Evidence of Cage-to-Cage Diffusion of H$_{2}$ in H$_{2}$-Clathrates Lasitha Senadheera, Mark Conradi H$_{2}$ and heavy-ice at P$>$1 kbar and T $\sim $250 K form H$_{2}$-D$_{2}$O clathrate; four and one H$_{2}$ may occupy each large (L) and small (S) cage, respectively. In H$_{2}$-THF-H$_{2}$O clathrate, H$_{2}$ occupies singly and only S cages. Previous electronic-structure calculations estimate the barriers for H$_{2}$ passage though hexagonal and pentagonal faces of cages as $\sim $6 and $\sim $25 kcal/mol, respectively. Our H$_{2}$ NMR linewidth data reflect random crystal fields from frozen cage-wall D$_{2}$O orientations. We find dramatic reductions in linewidth starting at 120 K (175 K) for H$_{2}$-D$_{2}$O (H$_{2}$-TDF-D$_{2}$O) indicating time-averaging of the crystal fields. Assuming Arrhenius behavior, our data imply energies for escape from L (S) cages of about $\sim $4 ($\sim $6) kcal/mol. For L cages, the agreement with the calculated (cages were treated as rigid) barrier is reasonable. For H$_{2}$ in S cages, in H$_{2}$-TDF-D$_{2}$O, the extreme disagreement with theory points to another mechanism of time-averaging, reorientations of the cage-wall D$_{2}$O molecules, as suggested by previous work in TDH-H$_{2}$O clathrate. Our limited NMR spectra at high T $\sim $145 K in H$_{2}$-D$_{2}$O show evidence of distinct resonances from diffusionally mobile and immobile H$_{2}$ molecules, as expected. [Preview Abstract] |
Session Q21: Focus Session: Fundamental Issues in Catalysis II
Sponsoring Units: DCPChair: Wilfred Tysoe, University of Wisconsin-Madison
Room: Morial Convention Center 213
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q21.00001: "Heterogeneous Electrocatalysis" Invited Speaker: |
Wednesday, March 12, 2008 11:51AM - 12:27PM |
Q21.00002: Tailoring Surface Reactivity of Metal Oxides Invited Speaker: Titanium oxide is receiving continued attention because of its importance as catalyst support, as a material to harvest solar energy for chemical transformations, and as a model metal oxide. In this talk, I will focus on the structure and defects (extrinsic and intrinsic) of less-studied TiO$_{2}$ surfaces; i.e., rutile (011)-2x1 and anatase (101), and their influence on surface reactivity. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 1:03PM |
Q21.00003: "Understanding Reaction Pathways on Model Catalyst Surfaces" Invited Speaker: |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q21.00004: Modulating the reactivity of Pt-based catalysts for PEMFC: A First Principles Study Hai-Yan Su, Xin-He Bao, Wei-Xue Li Low-temperature Polymer electrolyte membrane fuel cells (PEMFCs) have been regarded as one of the most promising candidates to produce heat and electricity, especially for electric vehicles or residential co-generation systems. However, the CO poison at the anode and the slow kinetics of the ORR at the cathode for Pt based-catalysts limit its widespread application, which motivated extensive research for more effective catalysts with CO tolerant, highly active and lower Pt loading, and/or highly selective for CO PROX. Density functional theory calculations have been used to screen Pt-based catalysts for PEMFC. It is found that the direct contact with Pt catalysts (so-called Pt-skin) is essential. The reactivity of Pt-skin catalysts towards the oxygen reduction reaction (ORR) and the hydrogen evolution reaction (HER) can be modulated by stepwise increase of Ni contents, which are accomplished by the modification of the reactivity through ligand and geometrical effects. The overall reactivity is however balanced by effective adsorption and desorption of adsorbates. Our calculations show that among various Pt$_{x}$Ni$_{y}$ with Pt-skin, Pt$_{3}$Ni is the catalyst with the highest overall reactivity. The present work indicates that it may be a good candidate for CO preferential oxidation (PROX) in excess of the hydrogen. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q21.00005: Surface Site Characterization of CO$_{ads}$ on Platinum Patrick McGrath, Aurora Marie Fojas, Elton Cairns, Jeffrey Reimer Nuclear magnetic resonance (NMR) spectroscopy is used in conjunction with cyclic voltammetry (CV) to explore the surface chemistry of CO on platinum electrocatalysts. Electrochemically prepared CO$_{ads}$ (from different sources and electrode potentials) are studied on platinum at various coverages in sulfuric acid electrolyte. A model is presented to parse the total oxidation current into its separate contributions and these are correlated with the type of surface site occupied by the adsorbate. Accounting of the CV oxidation currents suggest that the species left on the surface after partial oxidation of a saturated CO$_{ads}$ layer is a mixture of linear- and bridged-CO. $^{13}$C-NMR of the surface species resulting from electrochemically adsorbing labeled methanol provides direct insight into the surface electronic structure of the catalysts. We observe a shift in the $^{13}$C-NMR spectra associated with different surface preparations. These shifts correlate with the corresponding coverage of the adsorbate on different types of platinum sites. NMR is used to probe the dynamics of these species to elucidate the interaction of the adsorbate with the platinum surface. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q21.00006: Does Pauli repulsion induce the dissociation energy barriers? A first principles study Masato Ito, Shigeyuki Takagi, Hidekazu Tomono, Kazuo Tsumuraya We elucidate the origin of the formation analyzing the dissociation process of oxygen molecule on bridge-top-bridge site of Pt(111). The charge state is analyzed by the Bader method together with the spin states of the two oxygen atoms. The charge transfers to the dissociated oxygen molecule from the Au surface. The potential energy variation is in agreement with the energy variation of the separated in distance, charged, and spin polarized oxygen molecules that is calculated with real- space density functional method. Excluding the exchange term in the total energy calculation of the H$_2$/Au system leads to a monotonic increase of the potential energy surface in the dissociation process. The energy barriers in the H$_2$/Mg, H$_2$/Pt, and H$_2$/Au systems are in agreement with the energy variations of the charged, isolated, and separated hydrogen molecules. The barriers appear in late dissociations although no barrier for the nondissociated adsorptions. Their electronegativity differences determine the directions of the transfer in the cases investigated. So we have to reconsider the applicablity of the Pauli repulsion to the barrier formations. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q21.00007: O$_{2}$ Dissociative Adsorption on Cu$_{2}$O(100) with O Vacancies Duy Le, Sergey Stolbov, Talat Rahman Cu$_{2}$O surfaces and nanoparticles have been shown to have high activity for CO oxidation [1]. As a result of consumption of the surface oxygen during the CO oxidation process on Cu$_{2}$O(100), the issue of restoration of the surface composition becomes critical. Through first principles electronic structure calculations of the geometry, activation energy barriers, reaction pathways, and the local densities of electronic states for O$_{2}$ dissociative adsorption on the Cu$_{2}$O(100) surface with O vacancies, we show that the healing of oxygen vacancies is accompanied by reconstruction of the surface. Our calculations are based on density functional theory in the generalized gradient approximation and usage of ultrasoft pseudopotential method in the plane wave representation. [1] B. White, M. Yin, A. Hall, D. Le, S. Stolbov, T. S. Rahman, N. Turro, and S. O'Brien, \textit{Nano Lett.,} \textbf{6}, 2095 (2006). [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q21.00008: Modeling the effects of the oxide substrate on O$_{2}$ dissociative adsorption on Au nanostructures Sergey Stolbov, Talat S. Rahman In this work we apply the density functional theory calculations to explore the mechanism of high reactivity of Au nanoparticles on oxide substrates. We test the idea that the substrate -- nanoparticle interaction makes the O$_{2}$ dissociative adsorption favorable on this system, in contrast to bulk Au, and then the O atoms, so adsorbed, are consumed by reactants for further oxidation. We exploit the observation that the 2-layer Au film on TiO$_{x}$ displays an exceptionally high reactivity as compared to a monolayer Au film, as well as those with 3 or more layers [1]. We calculate the energy $E_{da}$ of dissociative adsorption of O$_{2}$ on the surfaces 1, 2, 3, and 5 Au(111) layer structures in two environments: 1) free standing layers, 2) on TiO fragments (modeling a substrate). We find $E_{da}$ to be negative for the 2- and 3-layer Au films on the ``substrate'' while it is positive for all other systems under consideration. This result along with the experimental finding [1] point to the O$_{2}$ dissociative adsorption as being the main mechanism for the observed reactivity of Au nanostructures. Calculated local densities of electronic states and local charges in the system will be presented for further insights into the nature of the effect. [1] M. S. Chen, D. W. Goodman, Science \textbf{306}, 234 (2004). [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q21.00009: A Density Functional Theory study of Cobalt nanoparticle catalyst for Fischer-Tropsch Synthesis Chandana Ghosh In the Fischer-Tropsch synthesis Cobalt nanoparticles are widely used as catalysts in which the reaction of Carbon Monoxide and Hydrogen form hydrocarbons. Particle sizes in the range of 6-8 nm have shown to exhibit maximum catalytic activity which is attributed to their surface area and their ability to stabilize steps. Using ab-initio electronic structure calculations based on the density functional theory we study the energetics of adsorption and dissociation of Carbon Monoxide on various particle morphologies and coverages including flat and stepped surfaces and particles with a separation of a few angstroms. The local density of states will be calculated for the various configurations. This study will provide an in-depth understanding of the energetics of adsorption and dissociation of Carbon Monoxide on Cobalt particles and for the various coverages and the particle configurations that lower the dissociation barrier as well as the preferred adsorption sites of the atoms that give the lowest energy for the various particle geometries. [Preview Abstract] |
Session Q22: Focus Session: New Methods in Polymer Physics
Sponsoring Units: DPOLYChair: Carson Meredith, Georgia Institute of Technology
Room: Morial Convention Center 214
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q22.00001: Combinatorial Methods for Polymer Physics: Tools for discovery that enable knowledge generation Invited Speaker: There is no doubt that the advent of combinatorial and high-throughput experimental methods has revolutionized industrial materials discovery by accelerating the research and development of a huge variety of materials systems and devices. This is because ``combi'' techniques enable researchers to approach large, complex variable spaces in a more rapid, thorough and rational manner. Accordingly, these methods hold great potential for polymer physicists who are increasingly faced with more intricate molecular architectures, more complicated properties interrelations, and more convoluted behaviors from the systems they consider. As demonstrated in recent years, when combi tools are directed towards ``knowledge generation'' and complex materials science problems, academic researchers can reap the benefits that industrial practitioners of these techniques have seen in discovery and engineering. In this talk we will discuss some key combi and high-throughput tools for polymer research, and demonstrate how they can be applied to key problems in polymers physics. Topic areas will include thin film polymer stability and wetting, block copolymer morphology and phase behavior, polymer surfaces and interfaces, and the structure and behavior of polymer solutions. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q22.00002: In-situ Liquid Accessible Volume Measurement of Polymer Films Using Surface Plasmon Resonance Yibing Zhang, Mohsen Yeganeh Many physical properties of a polymer in contact with liquid can be markedly affected by the amount of the liquid that can penetrate into the polymer matrix. The liquid accessible volume in a polymer matrix is difficult to determine at elevated temperatures and not possible with simple weight gain measurements. A high sensitivity optical Surface Plasmon Resonance (SPR) technique, which has been widely used in chemical and biomedical applications, was developed for determination of liquid accessible volume in a polymer matrix at both room and high temperatures (up to 150 $^{o}$C). Experimental results and theoretical calculation are in excellent agreement. Hysteresis in liquid accessible volume as a function of organic liquid was observed when temperatures were cycled between room and high temperatures. The newly developed SPR technique for accessible volume determination has a great potential for \textit{in-situ} characterization of a polymer matrix in contact with liquid. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q22.00003: Interface and dynamic indentation of crosslinked polyester films Suresh Ahuja The nanoindentation technique has drawn much interest recently for both its efficiency and versatility in measuring the mechanical properties of small volumes of materials and thin films. Since the unloading curve of polymers depends not only on the holding time but also on the unloading rate Hysitron Nanoindenter was used in our investigation of contact deformation of surfaces of polyester and polycarbonate supported on an aluminum substrate. Crosslinked polyesters with different gel concentration were produced on aluminum surfaces. Inter-phase plays a crucial role in composites. Stiffening and strengthening rely on load transfer across the interface, toughness is influenced by crack deflection/fiber pull-out, and ductility is affected by relaxation of peak stresses near the interface. Instead of strain softening with indentation depth observed in linear polymers, cross-linked polymers showed strain hardening. The extent of diffusion determines the extent of the interfacial region with the conformation loss of large molecules being higher than that of small molecules. Besides, considering the strain-hardening.an increasing stress is required to produce further plastic deformation after the material is strained beyond the yielding point, it is possible to separate the plastic deformation and the visco-elastic deformation and study them individually. Steady state deformation is compared with sinusoidal deformation relatable to differences in Tg from confinement effects. In cross-linked polymers, surface deformation is analyzed a three-layer model consisting of free surface layer, intermediate layer, and interface layer. Molecular relaxation dependence on molecular weight and cross-link density is shown. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q22.00004: Prediction of Zeolite Types Based on Structural Data. M. Lach-hab, D.A. Carr, I. Vaisman, E. Blaisten-Barojas Application of knowledge discovery methods in the search of information contained in databases is an emerging field in materials science that plays an important role on facilitating data analysis. In this study we propose a model for identification of the zeolite mineral type based on the topological analysis of the underlying crystal structure. High-throughput generation of topological descriptors is derived from the Delaunay tessellation of zeolite supercells. Based on these descriptors, our Zeolite-Structure-Predictor is trained for classifying zeolite crystals into twenty two different types of minerals and is based on a random forest model constructed with attributes that include tetrahedrality index, in-sphere volume, average edge, frequency of occurrence and probability of oxygen rich selected simplices. The underlying crystal structure data used for this study are included in the Inorganic Crystal Structural Database (ICSD). [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q22.00005: Adaptable Polymer Microsrolls Kyriaki Kalaitzidou, Alfred J. Crosby Adaptable polymer particles that can change geometry, flow characteristics, and adsorption properties upon the stimulation of an environmental change, such as temperature are fabricated by utilizing the residual stress developed at the interface of a bilayer. We propose a phase diagram that can be used to predict the shape and the size of the adaptive polymer particles as a function of the materials modulus, thickness ratio and the bilayer's lateral dimensions. The method is applicable to any material combination that satisfies the design equations. The materials used in this work are gold/titanium (Au/Ti) and polydimethylsiloxane (PDMS). Initial demonstrations of this responsive control and its impact on properties of the adaptive polymer particles are also presented. These structures combined with their demonstrated reversibility have potential as capsules in drug delivery systems and novel conductive composites. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q22.00006: Resonant soft x-ray GISAXS on block copolymer films Cheng Wang, T. Araki, B. Watts, H. Ade, A. Hexemer, S. Park, T.P. Russell, W.F. Schlotter, G.E. Stein, C. Tang, E.J. Kramer Ordered block copolymer thin films may have important applications in modern device fabrication. Current characterization methods such as conventional GISAXS have fixed electron density contrast that can be overwhelmed by surface scattering. However, soft x-rays have longer wavelength, energy dependent contrast and tunable penetration, making resonant GISAXS a very promising tool for probing nanostructured polymer thin films. Our preliminary investigation was performed using PS-b-P2VP block copolymer films on beam-line 5-2 SSRL, and beam-line 6.3.2 at ALS, LBNL. The contrast/sensitivity of the scattering pattern varies significantly with photon energy close to the C K-edge ($\sim $290 eV). Also, higher order peaks are readily observed, indicating hexagonal packing structure in the sample. Comparing to the hard x-ray GISAXS data of the same system, it is clear that resonant GISAXS has richer data and better resolution. Beyond the results on the A-B diblock copolymers, results on ABC block copolymers are especially interesting. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q22.00007: Orientation Distribution for Thin Film Block Copolymers Ronald Jones, Xiaohua Zhang, Sangcheol Kim, Alamgir Karim, Robert Briber, Ho-cheol Kim The directed self-assembly of nanostructured films with vertically oriented morphologies is a potential solution for manufacture of next generation data storage platforms, microelectronic devices, and nanoporous membranes. In many of these applications, the distribution of orientation must be tightly controlled to enable pattern transfer. This parameter is expected to depend on factors such as the Flory-Huggins chi parameter, but little data has been reported to date. We present results from tomographic small angle scattering on a series of block copolymer films whose assembly has been directed through solvent annealing. Films of poly(styrene-b-ethylene oxide) are cast as a function of annealing time and their orientation distribution reported. The results provide significant insight into the fundamental limits of line edge roughness and defect control possible using this fabrication technique. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q22.00008: Unusual Domain Morphology in PS-b-PFS Block Copolymer Films Seth Darling, Muruganathan Ramanathan, Elizabeth Nettleton Gaining control over the structure and order of self-assembled domains is critical to the success of bottom-up fabrication methodologies. We focus on the self-assembly of polystyrene-block-poly(ferrocenyldimethylsilane) block copolymers (PS-b-PFS). Thin films microphase separate to form nanoscale PFS cylinders within a PS matrix. Traditionally, order in such films is improved using thermal annealing, which has drawbacks including time requirements and possible thermal degradation. In this work, solvent annealing has been used, sometimes in concert with thermal annealing, to gain control over the microphase domain orientation. In addition to orientational control, novel domain morphologies have been observed. Thermochemical techniques and AFM and TEM imaging have been utilized to characterize these materials. Because of the comparatively high etch resistivity of the PFS block, this block copolymer holds potential in lithographic patterning of nanowires, nanopillar arrays, and nanofluidic channels. Some initial patterning results will also be presented. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q22.00009: Using Functional Small Molecules to Control Self-Assembly and Patterning in Block Copolymer Thin Films C. Geraldine Bazuin, David Gaspard, Ximin Chen, Damien Mauran, Robert E. Prud'homme, Christian Pellerin Self-assembled thin films of block copolymers constitute an elegant means to obtain nanopatterns and nanotemplates on surfaces. Here, we demonstrate how interacting small molecules can be used to control the morphology of dip-coated block copolymer films. Such films obtained from THF solutions of styrene-4-vinylpyridine block copolymers (PS-b-PVP, ca. 29 wt percent VP) and naphthol (NOH), which hydrogen bonds to PVP, yield nanopatterns of quasi-hexagonally ordered nodules of PVP+NOH in a PS matrix. Washing in methanol removes the small molecules, leaving functional P4VP-lined nanopores in the film. These nanopores are receptor sites for molecules with desired properties. In contrast, naphthoic acid (NCOOH), which differs from NOH only by the hydrogen-bonding group, leads to fingerprint morphology under the same initial dip-coating conditions. By changing the conditions, in particular reducing the dip-coating speed sufficiently, the fingerprint morphology can be converted to quasi-hexagonal. Reflection-absorption infrared spectroscopy indicates that the proportion of small molecule incorporated into the dip-coated film is about half that found in solution for both NOH and NCOOH. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q22.00010: Combinatorial Studies of Free Surface Effects on Block Copolymer Thin Films Thomas Epps, Julie Lawson, Thomas Scherr, Michael Fasolka To employ block copolymers for many nanoscale templating applications, it is essential to understand how the interfacial interactions originating from the substrate and free surface in ultrathin ($\sim $nm) films affect block copolymer morphologies. In this work, we manipulated the free surface interactions of poly(styrene-b-isoprene-b-styrene) and poly(styrene-b-ethylene oxide) thin films using solvent vapor gradient libraries. These libraries were created using fluorinated acrylate microfluidic devices consisting of a two-input solvent vapor mixing tree and several discrete solvent vapor flow channels. Areas of the thin films exposed to vapor flowing through the channels were subsequently examined by AFM. Analysis along the discrete vapor gradients showed the expected results for the channels at the extremities (representing the approximately single component vapor streams); however, the channels at intermediate vapor compositions show time-dependent nanostructure behavior that was a function of both vapor composition and distance along the channel. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q22.00011: Self-assembly of Cylindrically Confined Block Copolymers in Core-Shell Electrospun Fibers Minglin Ma, Gregory Rutledge We report the development of electrospun fibers with internal structure by two-fluid coaxial electrospinning of block copolymers. Microphase separated morphologies with a long-range order were obtained by annealing the fibers at a temperature greater than the glass transition temperature of either block of the copolymer core but less than the glass transition temperature of the polymer shell. Various interesting, unusual and in some cases unprecedented self-assembled morphologies of block copolymers have been observed. Based on quantitative analyses, confinement within the cylindrical geometry was found to affect both the microphase morphology and fundamental period of the block copolymer. These internally nanostructured fibers have both practical and fundamental intellectual importance. For example, these fibers have unique potential for applications in optics, photonics, drug delivery, and other uses because of their small diameter, unique internal structure, and continuous filamentary nature. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q22.00012: A Generalized Method for the Preparation of Neutral Brushes from Homopolymer Mixtures Shengxiang Ji, Guoliang Liu, Fan Zheng, Franz Himpsel, Paul Nealey We demonstrate a new, generalized approach for the formation of neutral surfaces that uses a ternary blend of hydroxyl-terminated homopolymers A-OH and B-OH and a low MW A-$b$-B block copolymer. The presence of the block copolymer effectively homogenizes the A/B homopolymer mixture before the homopolymers are grafted onto the substrate, thereby preventing macroscopic phase separation of the homopolymer mixture, and maintaining chemical neutrality over the length scales necessary for the self-assembly of block copolymer microdomains. The grafting ratios of the two homopolymers were varied simply by changing the blend ratios. Neutral compositions for P(S$-b-$MMA) and P(S-$b$-2VP) were located using this binary homopolymer mixture strategy. [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q22.00013: Centrifugal adhesion balance (CAB) : A novel surface characterization technique Rafael Tadmor, Lan Dang, Aisha Leh, Prashant Bahadur, Kumud Chaurasia Drop lateral adhesion to a surface and the condition for drop sliding along a surface are key issues in many disciplines including biophysics, environmental science, fluid dynamics and agriculture. Yet, to date, except for the tilt stage method, which is extremely limited in range of forces, there is no systematic experimental instrumentation to measure the forces required for drop sliding. We present a new instrument that uses centrifugal forces to slide any drop along a surface. Beyond extending the range of measurable drop-surface interaction, the instrument enables decoupling of some parameters that are bound to be coupled with the simple tilt stage method. Specifically the tilt stage method has two variables varying at the same time: the lateral and normal forces. This violates a fundamental principle of experimental science which leads to obscured understanding of surface characteristics. The CAB avoids this problem. [Preview Abstract] |
Session Q23: Focus Session: Bilayer Manganites
Sponsoring Units: DMP GMAGChair: Ray Osborn, Argonne National Laboratory
Room: Morial Convention Center 215
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q23.00001: Doping dependence of the bilayered colossal magnetoresistive manganites La(2-x)Sr(1+2x)Mn(2)O(7): Angle Resolved Photoemission studies Norman Mannella, Kiyohisa Tanaka, Sung-Kwan Mo, Zhi-Xun Shen We have measured the doping dependence of the bilayered colossal magnetoresistive manganites La(2{\-}x)Sr(1+2x)Mn(2)O(7) with Angle Resolve Photoemission (ARPES). Our measurements reveal profound differences in the spectral features depending on the doping levels. Surprisingly, the spectra corresponding to x = 0.4 exhibit more similarities to those corresponding to x = 0.6 than the ones with x = 0.36 and x = 0.38. Further aspects of these data in relation to the physics of layered manganites will be discussed. [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q23.00002: Non-monotonic Fermi surface geometry and its correlation with real-space ordering in the bilayer magnetoresistive oxide La$_{2-2x}$Sr$_{1+2x}$Mn$_{2}$O$_{7}$ Zhe Sun, J.F. Douglas, Q. Wang, Y.D. Chuang, A.V. Fedorov, H. Lin, S. Sahrakorpi, B. Barbiellini, R.S. Markiewicz, A. Bansil, H. Zheng, J.F. Mitchell, D.S. Dessau Angle-resolved photoemission spectroscopy was used to study the Fermi surface and nesting effects for a wide range of doping levels (x) of La$_{2-2x}$Sr$_{1+2x}$Mn$_{2}$O$_{7}$. While band structure calculations indicate a monotonic trend in the size of each piece of the Fermi surface, our data indicates non-monotonic trends which are consistent with the evolution of the charge modulations, unequivocally confirming the direct connection between the nesting and the charge correlation vectors. This may be classified as a key example of a system with a strongly k-dependent self-energy. [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q23.00003: Doping evolution of bilayered colossal magnetoresistive manganites: bilayer splitting and c-axis coupling Chris Jozwiak, Jeff Graf, Shuyun Zhou, Aaron Bostwick, Eli Rotenberg, Hong Zheng, John Mitchell, Alessandra Lanzara We present a detailed momentum, doping and temperature dependent study of the electronic properties of bilayer manganites, La$_{2-2x}$Sr$_{1+2x}$Mn$_{2}$O$_{7}$, by means of angle-resolved photoemission spectroscopy. In particular, we will address both the in-plane bilayer splitting, as well as the out-of-plane band, as a function of doping. We will discuss possible implications for the role of inter-plane coupling in the CMR manganites. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q23.00004: Calculated properties of the La$_{2-2x}$Sr$_{1+2x}$Mn$_2$O$_7$ bilayer manganites, $0.30\leq x\leq0.50$ Rolando Saniz, Arthur Freeman, Michael Norman The low temperature properties of the La$_{2-2x}$Sr$_{1+2x}$Mn$_2$O$_7$, $0.30\leq x\leq0.50$ bilayer manganites have been studied in the past using a host of experimental techniques in order to understand the outstanding phenomena they exhibit. To complement these investigations, we present a systematic study of their calculated ground state properties as a function of doping level, using the highly precise full-potential linearized augmented plane wave (FLAPW) method,\footnote{Wimmer, Krakauer, Weinert, Freeman, Phys.Rev.B, {\bf 24}, 864 (1981).} and focusing on magnetic order and optical and transport properties. Our results, which are in very good agreement with experiment in several respects, underline the correlation between the structural and orbital degrees of freedom and also shed light on many of the unexpected observations at low temperature in angle-resolved photoemission spectroscopy, optical conductivity, and resistivity measurements. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q23.00005: Magnetic State of La$_{1.36}$Sr$_{1.64}$Mn$_{2}$O$_{7}$Probed by Magnetic Force Microscopy Junwei Huang, Changbae Hyun, Tien-Ming Chuang, Jeehoon Kim, Alex de Lozanne, J.B. Goodenough, J.S. Zhou, John Mitchell We have investigated the evolution of the ferromagnetic (FM) domain structure of a single-crystal La$_{1.36}$Sr$_{1.64}$Mn$_{2}$O$_{7}$ with temperature and external magnetic field by using low-temperature magnetic force microscopy. We observed that the FM domains form stable treelike patterns with out-of-plane magnetization below 65 K. As the temperature increases, the FM domains begin to change gradually. Around 88 K, the magnetization changes from the out-of-plane to an in-plane direction. The in-plane FM domains almost completely disappear near the Curie temperature of this sample ($T_{C} \quad \approx $ 110$K)$. Interestingly, the evolution of the FM domain patterns with temperature was seen to coincide with the change in resistivity. We also observed large changes in the magnetic structures upon thermal cycling. We concluded that the formation of FM domains is determined by the competition between the magnetostatic energy and domain-wall energy and is also associated with the 2D character of the system. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q23.00006: Thermal Expansion, Heat Capacity and Magnetization Measurements of La$_{1.2}$Sr$_{1.8}$Mn$_{2}$O$_{7}$ Richard K. Bollinger, J. J. Neumeier, H. Zheng, J. F. Mitchell La$_{1.2}$Sr$_{1.8}$Mn$_{2}$O$_{7}$ is a bi-layered ferromagnet of perovskite structure with two dimensional magnetic and electrical properties. In this presentation, we will show measurements of the specific heat, magnetization, and thermal expansion for single crystalline La$_{1.2}$Sr$_{1.8}$Mn$_{2}$O$_{7}$ in the temperature range 300 K $< T <$ 5 K. The thermal expansion of this tetragonal crystal along the $a$ and $c$ directions will be presented, the anisotropy will be discussed, and the critical behavior near the magnetic transition will be evaluated. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q23.00007: Thermal conductivity of layered La$_{1.2}$Sr$_{1.8}$Mn$_2$O$_7$ Filip Ronning, Namjung Hur, Nobuyuki Kurita, J.D. Thompson, Roman Movshovich La$_{1.2}$Sr$_{1.8}$Mn$_2$O$_7$ has many similarities to underdoped cuprates: highly anisotropic transport, strong disorder in a charge reservoir layer, and even claims for Fermi arcs. Thus we measured the thermal and charge transport of this system to examine whether the Wiedemann-Franz law is violated in La$_{1.2}$Sr$_{1.8}$Mn$_2$O$_7$ as it is in several cuprate systems in the T=0 limit. One significant difference to cuprates, however, is that the low temperature state of La$_{1.2} $Sr$_{1.8}$Mn$_2$O$_7$ is a ferromagnet. A consequence of this is that magnons in addition to phonons and electrons can transport heat. By using an applied magnetic field to gap out the magnon spectrum, we have also found clear evidence for the transport of 2D ferromagnetic magnons. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q23.00008: Temperature Dependent Raman Scattering in Layered Manganites Rajeev Gupta, D. N. Patel, S. L. Gupta La$_{2-2x}$Sr$_{1+2x}$Mn$_{2}$O$_{7}$ (LSMO) is a manganite system built up of perovskite bilayers of corner linked MnO$_{6} $ octahedra forming infinite sheets and separated by a (La,Sr)O layer along the c-axis. For 0.3 $<$ x $<$ 0.5, there is a transition from a low temperature ferromagnetic metallic state at T$_{c}$ $\sim$ 110-130 K to a high temperature paramagnetic insulating state. Due to its layered structure, this system provides a unique opportunity to explore the interplay between spin, charge and lattice degrees of freedom in reduced dimensions. In this talk we present our results on temperature dependent Raman scattering measurements on single crystals of LSMO for x in the range 0.3-0.45. We observe four modes in the frequency range 300-800 cm$^{-1}$ and track the changes in the Raman bands line shape parameters as a function of temperature. We correlate our results with other known transport and magnetic measurements on these systems. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q23.00009: Optical Measurements of Transition from Insulator to Metallic Phases in LaxMnO3:Evidence for Mixed Phases Below Tp Michael Deleon, Peng Gao, Trevor A. Tyson, Zhenxian Liu, Catherine Dubourdieu Infrared measurements were conducted over the range 100 to 8000 cm-1 on film of LaxMnO3 (x$\sim $0.8). From these measurements we determined the frequency dependent conductivity, the effective number of carriers and the frequency dependence of specific phonon modes. The Drude model conductivity matches well with the measured DC resistance measurements. The bulk magnetization noset and resistance peak coincide near $\sim $270 K. However, the onset of the increase in the free carrier number lags these curves and occurs $\sim $ 25 K lower in temperature and saturates at 200 K. This indicates that indicates that a significant volume of the insulating phase exists below Tp. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q23.00010: Experimental Evidence for an Energy Gap in the Magnetic Insulator SrRu$_{.06}$Mn$_{.94}$O$_3$ M. DeMarco, D. Coffey, B. Dabrowski, S. Kolesnik, M. Maxwell, S. Toorongian, M. Haka $^{99}$Ru M\"{o}ssbauer Effect and magnetic measurements have been made on an enriched $^{99}$Ru sample of SrRu$_{.06}$Mn$_{.94}$O$_3$ which are compared with a series of measurements on compounds SrRu$_x$Mn$_{1-x}$O$_3$ made with natural Ru completed earlier. SrRu$_x$Mn$_{1-x}$O$_3$ is an antiferromagnet for x=.06 and a ferromagnet for x=.9. The change from the ferromagnet to the antiferromagnet is accompanied by a change in the hyperfine magnetic field from 33T to 50T at 4.2K and also an isomer shift from a +4 to close to a +5 charge state. The measurements of the hyperfine field as a function of temperature show a change from 50T at 4.2K to 48T at 105K. Since the N\'{e}el temperature is about 160K for this compound, these small changes indicate that the thermal excitation of spin waves, which lead to the decrease of the hyperfine field with temperature, are strongly suppressed by a gap of order 100K in the spin wave spectrum. This indicates the presence of strong magnetic anisotropy in the material. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q23.00011: Elastic properties of the transition metal oxides Ca$_{2-x}$Sr$_{x}$RuO$_{4}$ Yanbing Luan, Veerle Keppens, Rongying Jin, David Mandrus Layered perovskite ruthenates have attracted considerable interest since the discovery of superconductivity in Sr$_{2}$RuO$_{4}$, the only copper-free superconductor isostructural to the cuprates. Among the doped varieties of Sr$_{2}$RuO$_{4}$, the Ca$_{2-x}$Sr$_{x}$RuO$_{4}$ series is heavily studied, as it connects the Mott insulator Ca$_{2}$RuO$_{4}$ with the superconductor Sr$_{2}$RuO$_{4}$ and exhibits a variety of physical properties. The current work focuses on the elastic properties of Ca$_{2-x}$Sr$_{x}$RuO$_{4. }$Resonant Ultrasound Spectroscopy (RUS) has been used to study the elastic response of the samples, and results are presented for single crystals with x = 0.2, 0.3, 0.4, 0.5, 1, 1.5, 1.9 and 2.0. The temperature-dependence of the elastic behavior is found to be quite unusual and reflects the rich phase diagram of these materials. Almost all measured Ca$_{2-x}$Sr$_{x}$RuO$_{4}$ samples show a soft phonon mode at low temperatures, which is believed to be associated with the dynamics of the RuO$_{6}$ octahedra. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q23.00012: Synthesis and Physical Properties of Double Perovskite Pb$_{2}$FeReO$_{6}$ Kousuke Nishimura, Masaki Azuma, Mikio Takano, Yuichi Shimakawa A double perovskite Pb$_{2}$FeReO$_{6}$, in which Fe and Re are ordered in a rock-salt type configuration, was prepared at a high pressure (6GPa) and high temperature (1000 $^{\circ}$C) condition. The crystal structure determined by the synchrotron X-ray powder diffraction was centrosymmetric with a space group $I$4/$m$ despite the presence of Pb$^{2+}$ ion at the $A$ -site. The lattice parameters are $a$ = 5.6159(1) \AA and $c$ = 7.9455(3) \AA. No structural transition to a lower symmetry was observed down to 23 K. The resistivity showed semiconducting behavior. This compound exhibited a ferrimagnetic transition at 420 K with saturation magnetizations depending on the degree of Fe$^{3+}$/Re$^{5+}$ ordering controlled by the cooling rate after the heat treatment. [Preview Abstract] |
Session Q24: Focus Session: Transport in Nanostructures V: Optics, Mechanics, and Networks
Sponsoring Units: DMPChair: Douglas Natelson, Rice University
Room: Morial Convention Center 216
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q24.00001: Simultaneous measurements of single-molecule electrical conduction and Raman response Daniel Ward, Naomi Halas, Douglas Natelson Electronic conduction through single molecules is affected by the molecular electronic structure as well as by other information that is extremely difficult to assess, such as bonding geometry and chemical environment. The lack of an independent diagnostic technique has long hampered single-molecule conductance studies. We report on simultaneous measurements of single molecule electronic conduction and Raman response in a nanogap geometry using paramercaptoaniline. The measurements show strong correlations in the time variation of the conductance and the Raman spectrum. The Raman changes include ``blinking'', spectral diffusion, and changes in Raman mode structure. Conduction in nanogaps is known to be dominated by a single or at most a few molecules, demonstrating the correlated Raman response is also single molecule in nature. These observations establish that multimodal sensing of individual molecules is possible in these mass-producible nanostructures. [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q24.00002: Local luminescence characterizations of ZnO nanostructures and their electrical transport characteristics Ji-Yong Park, Young Mu Oh, Kyung Moon Lee, Kyung Ho Park, Yongsun Kim, Y.H. Ahn, Soonil Lee Local luminescence characterizations using cathodoluminescence (CL) emissions from individual ZnO nanostructures with diameters of 30-100 nm are investigated to correlate their optical and electrical properties. Two types of ZnO nanostructures with high and low charge carrier densities are identified from electronic transport measurements and concomitant CL characterizations. The results demonstrate that local luminescence characterizations can provide information about inhomogeneities in electrical and optical properties among ZnO nanostructures. [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q24.00003: Transport properties of a novel molecular rotor Mei Xue, K.L. Wang, Sanaz Kabehie, Jeffrey I. Zink Rotary motion around a molecular axis has been controlled by electron transfer process and by photoexcitation. The basis of the motion is intramolecular rotation of a ligand (3,8-di-ethynyltrityl-1, 10-phenanthroline) around a copper axle. The asymmetric copper system is synthesized by immobilizing a ``stator'' to a silicon support. The ``rotator,'' 3,8-di-ethynyltrityl-1, 10-phenanthroline is complexed to the metal center, Cu (I) or Cu (II) serving as an ``axle''. The Cu (I) system structure is tetrahedral, but that of Cu (II) is square planar. The interconversion of the two provides the basis for controlled, rotational motion. Hysteresis is observed in the different region of the applied voltage for different stators. The peak of the bisP-Si shifts to the left compared to that of the phen-Si stator because of the larger energy gap of phen-Si. The energy states of the Cu (I) and Cu (II) are extracted from the transport measurement results. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q24.00004: Simultaneous Measurements of Force and Conductance through Single Molecular Junctions Michael Frei, Maria Kamenetska, Mark S. Hybertsen, Latha Venkataraman We measure the conductance of single molecules attached to gold electrodes by repeatedly forming and breaking junctions between a gold substrate and a gold-coated cantilever in a modified atomic force microscope (AFM). While transport through single molecular junctions has been investigated, we gain additional information through the simultaneous recording of the forces required to break these junctions. Specifically, the force traces show elastic and plastic deformation processes hidden in conductance measurements. Our single molecular conductance measurements reproduce the results obtained previously and we find that the forces required to break gold point-contacts is consistent with published results. Furthermore, we present a comparison of measured forces required to break the Au-N bond formed in our single molecule junctions and density functional theory (DFT) results. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q24.00005: Dielectrophoretic Trapping of Au Nanoparticles using High Quality Nanogap Electrodes Ye Lu, Danvers E. Johnston, Douglas R. Strachan, Beth S. Guiton, Peter K. Davies, Tae-Hong Park, Michael J. Therien, A. T. Charlie Johnson In the past decade, single molecule-based electronic devices have drawn enormous attention. One of the great challenges to be overcome is the fabrication of well-defined, uncontaminated nanogap electrodes, and the subsequent assembly of individual molecules or nanoparticles onto the contacts. A promising route to this goal is the feedback controlled electromigration (FCE) process, which can be used to create stable, metal-particle free nanogap contacts at temperatures ranging from 4--300~K.$^{1,2}$ Here we describe experiments where Au nanoparticles (NPs) are assembled inside FCE fabricated nanogaps by using positive AC dielectrophoresis (DEP). Specific challenges relating to circuit design for efficient DEP and solutions to these challenges are discussed. Additionally, substrate interactions are found to substantially influence dielectrophoretic assembly. Methods for controlling DEP assembly by modification of the electrostatic interaction between NPs and substrate surfaces are explored. Funding: NSF-NSEC/NBIC DMR-0425780. $^{1 }$D. R. Strachan et al., Appl. Phys. Lett. \textbf{86} 043109 (2005). $^{2 }$D. R. Strachan et al., Nano. Lett. \textbf{86} 043109 (2006). [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q24.00006: Nanomechanical Shuttling of Electrons E. M. Weig, D. R. Koenig, J. P. Kotthaus A nanoscale metal island that is oscillating between two opposing electrodes on a vibrating string can be used to mechanically actuate an electic current. We have realized such an electron shuttle on a doubly clamped high Q silicon nitride beam subject to high tensile strain. The shuttle is operated acoustically which guarantees complete decoupling of the measured signal from the drive at arbitrary source drain voltages. We have investigated the shuttling current across the island as a function of the applied voltage bias under resonant actuation. Since the tunnel resistance increases exponentially with distance, charge transfer between the island and an electrode only occurs at the turning points of the shuttle motion. Therefore transport across the island is strictly sequential, so that the shuttle can be theoretically described using a single electron box model during contact time. We have observed excellent agreement between our measured data and theoretical calculations which suggests that a crossover to the Coulomb blockade regime should be observed for smaller sample dimensions and lower temperatures. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q24.00007: Measuring the momentum of a nanomechanical oscillator using tunnel junctions Charles Doiron, Bjoern Trauzettel, Christoph Bruder We present a way to measure the momentum $p$ of a nanomechanical oscillator\footnote{C. B. Doiron, B. Trauzettel, C. Bruder. arXiv:0707.2709.}. The momentum detector is based on two tunnel junctions in an Aharonov-Bohm-type setup, where one of the tunneling amplitudes depends on the motion of the oscillator and the other one does not. The coupling between the first tunnel junction and the oscillator is assumed to be linear in the position $x$ of the oscillator $t(x) = t_0 + t_1 \hat{x}$. However, the presence of two junctions can, under certain conditions, lead to an effective imaginary coupling $t(x) = t_0 + i t_1 \hat{x}$. By calculating the equation-of-motion for the density matrix of the coupled (oscillator+tunnel junction) system\footnote{A.A Clerk, S. Girvin. Phys. Rev. B {\bf 70}, 121303 (2004).}, we show that in this case the finite-frequency current noise of the detector is proportional to the momentum spectrum of the oscillator. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q24.00008: Vibronic enhancement of phonon heat conductance Yoshihiro Asai We have studied the electron transport and phonon heat transport through single molecular bridge-junctions in terms of a newly proposed self-consistent theory. Due to the inelastic coupling between electrons and phonons, the two transport properties are closely correlated, which are treated on an equal footing way. By using the theory we have studied the two problems, i.e., (1) the non-equilibrium phonon effect on the electron transport and (2) the inelastic vibronic coupling effect on the phonon heat conductance. We have discussed dissipation processes of the inelastic energy accompanying the electronic conduction through the bridge-junction. Ref) Y. Asai, Phys. Rev. Lett. 93, 246102 (2004); 94, 099901(E) (2005). Y. Asai and H. Fukuyama, Phys. Rev. B 72, 085431 (2005). Y. Asai, submitted. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q24.00009: Anisotropic Phonon Propagation in Nanoporous Alumina Akihiro Sato, George Fytas, Bahram Djafari-Rouhani, Yan Pennec, Martin Steinhart, Wolfgang Knoll Self-ordered nanoporous alumina membranes contain highly ordered hexagonal arrays of cylindrical holes. Phononic crystals based on nanoporous alumina with various porosities represent a composite medium for rich elastic wave propagation phenomena due to their periodicity and acoustic impedance contrast between alumina and infiltrated materials. It allows the manipulation of the high frequency acoustics as probed by Brillouin light scattering. In-plane and out-of-plane (perpendicular to the holes) propagation of the elastic waves are distinctly different. While the former reveals an effective medium and localization behavior, the latter selects the medium filling the holes. Band structure theoretical calculations provide a semiquantitative description of the new experimental findings. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q24.00010: Phononic Properties of Periodic 1D Multilayer Polymer Films Nikolaos Gomopoulos, Wei Cheng, George Fytas, Taras Gorishnyy, Edwin Thomas, Anne Hiltner, Eric Baer The evolution of phonon dispersion relation with composition and periodicity in 1D periodic multilayer nanoscale polymer films is studied using high resolution Brillouin light scattering. An increase in complexity of the dispersion relation as the lattice constant $d$ becomes comparable to the phonon wavelength (q$^{-1})$ is observed. Films with large $d$ include phonons propagating within individual layers, as opposed to delocalized phonons moving throughout an effective homogeneous medium in films with small $d$. Temperature dependent measurements of the sound velocities reveal the presence of distinct glass transition temperatures in support of the distinct propagation of phonons through the periodic medium in agreement with theoretical predictions. The structure related elastic excitations are determined by the product of the layer thickness and the phonon wave vector qd and hence all layer guided modes are superimposed in a reduced plot of the phase velocity v vs qd. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q24.00011: Dephasing of the weak localization correction in networks of quantum dots Joern N. Kupferschmidt, Piet W. Brouwer We consider the corrections to the conductance of networks of quantum dots due to electron-electron interactions. Interaction corrections are calculated to first order in the propagator associated with the capacitive coupling among the dots. We focus in particular on calculating the dephasing correction to the weak localization correction and the Altshuler-Aronov correction to the conductance. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q24.00012: Fractal conductance fluctuations of classical origin Holger Hennig, Ragnar Fleischmann, Lars Hufnagel, Theo Geisel The coherent conductance through mesoscopic structures is well known to show reproducible fluctuations with the variation of an external parameter (e.g. a magnetic field). These fluctuations are caused by interference effects and can be described semiclassically. In systems with mixed (regular and chaotic) classical dynamics {\em fractal} conductance curves are found\footnote{R. Ketzmerick, \textit{Phys. Rev. B} \textbf{54}, 10841 (1996)}. Experiments that study the transition from coherent to incoherent transport showing a change of the fractal dimension with the coherence-length\footnote{A.P. Micolich et al., \textit{Phys. Rev. Lett.} \textbf{87}, 036802 (2001)}, however, seemed to contradict the semiclassical theory of the fractal scaling. We show that there is no contradiction but that the classical dynamics itself already leads to fractal conductance curves\footnote{ H. Hennig, R. Fleischmann, L. Hufnagel and T. Geisel, \textit{Phys. Rev. E} \textbf{76}, 015202 (2007)} explaining the experimental observations. Moreover, we predict fractal classical conductance fluctuations not only in systems with mixed phase space but in purely chaotic systems. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q24.00013: Electron Transport through Models for Small-World Nanomaterials Lazarus Solomon, Mark Novotny We investigate the quantum transport of (spinless) electrons through simplified models related to small-world nanomaterials. We employ a tight-binding Hamiltonian, and obtain the transmission coefficient from a matrix solution of the associated time-independent Schr\"{o}dinger Equation. The system studied corresponds to $d=1$ semi-infinite input and output leads, connected to a `blob' of $N$ atoms. We first present exact results for $N$ inter-connected atoms, a fully-connected graph. The exact solution, for any $N$, is given both for symmetric and non-symmetric connections between the `blob' and the input/output. We then present numerical results obtained by removing some of the connections within the $N$-site `blob', thereby approaching transport through a small-world nanomaterial [1-4]. \newline [1] S. \c{C}ali\c{s}kan, M.A. Novotny, and J.I. Cerd\'{a}, J. Appl. Phys., \textbf{102}, 013707 (2007). \newline [2] M.A. Novotny \textit{et al.}, J. Appl. Phys., \textbf{97}, 10B309 (2005). \newline [3] M.A. Novotny and S.M. Wheeler, Braz. J. Physics \textbf{34}, 395 (2004). \newline [4] J. Yancey, M.A. Novotny, and S.R. Gwaltney, 2008 March Meeting presentation. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q24.00014: Atomic scale contact formation: A combined Scanning Tunneling Microscopy (STM) and Atomic Force Microscopy (AFM) study Till Hagedorn, Mehdi El Ouali, Yoichi Miyahara, Peter Gr\"utter We are investigating contact formation at the atomic scale, in particular the interplay of forces and conductivity [1]. As it has been shown (e.g. in the case of C60 in between a STM tip and an Au(111) sample [2]), the conductivity in molecular junctions depends strongly on the contact geometry. In order to fully characterize the junction, we use a homebuilt ultra high vacuum (UHV) (p $<$ $10^{-10}$ mbar) microscope which runs in simultaneous scanning tunneling microscope (STM) and atomic force microscope (AFM) modes. Additionally we image the STM tip structure with field ion microscopy (FIM) prior to using it in our experiments [3]. In order to realize a controlled contact we use the STM tip as one electrode and the sample as counter electrode. We are investigating bare Au(111) samples and W STM tips as an example of a nano metal-metal contact and one C60 molecule sandwiched between the W-tip and the Au(111) sample as a model for a controlled metal-molecule-metal contact. We will present new measurements of I(z), F(z) and dI/dV (z) curves of the above mentioned systems, where z is the tip-sample separation as well as images of the sample and tip structure. [1] Sun et. al. PRB 71 193407, 2005 [2] De Menech et. al. PRB 73, 155407, 2006 [3] Lucier et. al. PRB 72, 235420, 2005 [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q24.00015: Dissipation in metallic nano-mechanical resonators at millikelvin temperatures. A. Venkatesan, K. Lulla, M.J. Patton, A.D. Armour, C.J. Mellor, J.R. Owers-Bradley A magneto-motive detection scheme has often been employed to study dissipation in semiconducting nano-mechanical resonators at millikelvin temperatures. This scheme involves placing the beam in a large applied magnetic field and driving the mechanical resonance by sending rf currents through a thin metal electrode plated on the beam. The motion is then detected by measuring the induced e.m.f in the electrode. As a first step in understanding possible damping effects due to metallic films, we have fabricated free standing metallic resonators. We have fabricated doubly clamped beams out of $Au$ and $Au/Pd$ alloys (dimensions length $3-5 \mu m $ ,thickness $\approx 50nm$ and width $\approx 200 nm $). Beams of this dimension have a resonant frequency around $5-10$ MHz. We will report measurements, performed in a dilution refrigerator,of the quality factor $Q$ in these resonators as a function of temperature and magnetic field (up to 15 T). [Preview Abstract] |
Session Q25: Physical Properties of Melts and Solutions
Sponsoring Units: DPOLYChair: Mitchell Anthamatten, University of Rochester
Room: Morial Convention Center 217
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q25.00001: Structure and Assembly of Dense Solutions and Melts of Polymer Tethered Nanoparticles Arthi Jayaraman, Kenneth S. Schweizer We generalize the microscopic Polymer Reference Interaction Site Model theory to study intermolecular pair correlation functions and collective structure factors of dense solutions and melts of polymer-tethered spherical nanoparticles. The complex interplay of entropy (translational, conformational and packing) and enthalpy (particle-particle attraction) leads to different structural arrangements with distinctive scattering signatures. Strong concentration fluctuations indicative of aggregate formation and/or a tendency for microphase separation occur as the total packing fraction and/or particle-particle attraction strength increase. A microphase spinodal curve is estimated by extrapolation of the inverse of the amplitude of the small angle scattering peak. For nanoparticles, twice the diameter of monomers, carrying a single tether the microphase spinodal temperature grows roughly as a power law function of packing fraction with an exponent much less than unity. Increasing the nanoparticle diameter lowers the microphase spinodal temperature and results in a qualitative change of its packing fraction dependence. The effect of nanoparticle size, number and length of tethers, position of the grafting sites, total packing fraction, and particle-particle attraction strength on the structure, scattering patterns and tendency for microphase ordering has been studied in detail. [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q25.00002: Line shape analysis of dynamic light scattering results on polymeric microgel nanoparticles Kiril A Streletzky, Imaan Benmerzouga, John McKenna Hydroxypropylcellulose (HPC) is nontoxic polysaccharide with temperature dependent water solubility. HPC polymer chains can be chemically cross linked into stable nanoparticles- microgels. HPC microgel properties depend on polymer and salt concentration and cross linking density. One of the most important properties of HPC is its critical temperature of 41$^{o}$C, at which the polymer undergoes a reversible phase transition. HPC microgels also undergo a reversible volume phase transition in which particles shrink considerably. This property might lead to application of microgels as effective targeted drug delivery and release system. We used Dynamic Light Scattering to study microgels at different temperatures and applied line shape analysis algorithm to analyze resulting spectra. We found that the microgel initial size depends heavily on polymer concentration. We also found that varying salt concentration affects the dynamics of microgels. In addition, we were able to determine the effective cross linking density that yields relatively monodisperse microgels. We explored the structure of several microgel solutions by angular dependence analysis and found that most of them were spherical particles. In addition, we explored dynamics of the same microgels at different temperatures that enabled us to monitor their shrinking behavior. [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q25.00003: Neutron Flow-Mapping of Controlled-Architecture Polymer Melts Tom McLeish, Nigel Clarke, Pierre Chambon, Edoardo De Luca, John Embery, Christine Fernyhough, Tim Gough, Richard Graham, Isabelle Grillo, Lian Hutchings, Kamakshi Jagannathan, Harley Klein We report on results of a new method for probing complex flows of entangled polymer melts that is able to compare simultaneously chain configurations on different length scales and stress distribution in the flow with the predictions of molecular models. Controlled-architecture melts synthesised by anionic polymerisation and selectively deuterated are made in sufficient quantities to fill a recirculating flow device that contains a windowed processing zone. This may take the form of a constriction or a cross-slot. The whole processing rig is scanned across a narrow neutron beam before a small-angle detector that reports on the structure factor of labelled chains (this sometimes requires the subtraction of two differently-labelled experiments). The same flow is probed in birefringence, measuring the independent orientation at the bond level of the chains. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q25.00004: Chain dynamics in a semidilute polymer solution under steady shear Prasanth Jose, Grzegorz Szamel We performed a series of Brownian dynamics simulations of sheared semidilute solutions of relatively short polymer chains and found that incomplete cancellation of intra and inter chain contributions to the steady state structure factors leads to anisotropic patterns that resemble those observed in light scattering experiments on sheared semidilute solutions (J. Chem. Phys. 127, 114905(2007)). Here we investigate the changes in the single-chain dynamics in sheared semidilute solutions. We study the shear-rate dependence of the end-to-end vector relaxation, Rouse modes' dynamics, etc. We correlate the changes in the chain dynamics with shear rate dependence of the anisotropic scattering patterns and shear thinning of the solution viscosity. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q25.00005: Investigation of Extensional Flow-induced Crystallization in Entangled Polymer Melts Jong Kahk Keum, Yimin Mao, Feng Zuo, Benjamin S. Hsiao To investigate the extensional flow-induced crystallization in polymer melts by means of synchrotron X-rays, a unique cross-slot flow apparatus has been devised and tested. In-situ wide-angle X-ray diffraction (WAXD) results of isotactic polypropylene ($i$PP) showed that the formation of crystallization precursor structure (i.e., shish-kebab structure) under extensional flow is strongly governed by applied strain as well as strain rate. A critical strain,$\varepsilon _{c }$exists in the formation of flow-induced crystallization precursor structure. Below $\varepsilon _{c}$, no shish-kebab structure can be formed even when the applied strain rate,$\dot {\varepsilon }$ is higher than the critical strain rate,$\dot {\varepsilon }_{c}$. Results also confirmed that the crystalline shish precursor structure is formed first and it induces the growth of folded-chain lamellae, i.e. kebabs. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q25.00006: Transport and rheology in block copolymer mesophases Xusheng Zhang, Jorge Vi\~{n}als Free energy functionals of an order parameter field are widely used to describe ordered phases and flows in block copolymer melts. We present a systematic derivation of the transport equations governing order parameter diffusion and hydrodynamic flows by considering the order parameter $\phi$ and the local deformation $u$ as the two independent variables. By studying the static and dynamic response of the copolymer, we derive expressions for the reactive and dissipative components of the stresses. We finally use these equations to study the low frequency rheology of the copolymer under an applied oscillatory shear. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q25.00007: Universal Scaling of Linear and Nonlinear Rheological Properties of Semidilute and Concentrated Polymer Solutions Ronald Larson, Youngsuk Heo We examine the validity of the de Gennes ``blob'' concept in predicting linear and nonlinear rheological properties of semidilute polystyrene solutions in tricresyl phosphate (TCP). At a fixed value of rescaled concentration c/ce where ce is the entanglement concentration, below a critical value of around 2.0 for our polystyrene/TCP solutions, linear and nonlinear rheological functions superimpose after the modulus and the frequency (or shear rate) of each solution are respectively normalized with the concentration-dependent plateau modulus and the equilibration time obtained from the de Gennes scaling relationships using the literature value of the solvent-quality exponent 0.53. However, once the polymer volume fraction exceeds the ``swelling volume fraction, above which the polymer takes on a random walk configuration on all length scales even in a good solvent, this universal scaling breaks down and the polymer conformation appears to be governed by Colby-Rubinstein's scaling laws for theta solutions. We estimate that all polybutadiene solutions in phenyl octane (a good solvent) from the work of Colby \textit{et al. }are above the swelling concentration and can be scaled using theta solvent scaling laws for concentrations ranging all the way up to the melt, showing universal behavior of melts and solutions above the swelling concentration. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q25.00008: The linear rheological responses of cyclic polyoctenamer melt Miao Hu, Gregory McKenna, Yan Xia, Robert Grubbs, Julie Kornfield There is continuing interest in the dynamics of macrocylic polymers or polymer rings. Here we are working with novel polyoctenamer rings synthesized by a ring opening metathasis polymerization (ROMP) route that precludes linear contamination when pure catalyst is used. While the rings are polydisperse in their molecular weights, the method permits synthesis of extremely high molecular weight entitities. Here we report results on the dynamic moduli and the zero shear rate viscosities of both the cyclic polyoctenamer of Mw up to nearly 400,000 g/mol (which is nearly 50 entanglements) and the linear analogue. Comparisons will be made with prior literature results on rings made by ring closure methods in dilute solution where contamination with linear chains was problematic and where the entanglement density was less than 20. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q25.00009: Rheology and birefringence of Fomblin YR at very high shear rates. Khaled Mriziq, Hank Cochran, Mark Dadmun Simultaneous measurements of the rheological and structural properties of perfluoropolyether (PFPE) lubricant films were measured at relatively low to extremely high shear rates using a rotational optical rheometer. The viscosity of various films with different thicknesses exhibit Newtonian behavior up to a shear rate 1$\times $10$^{4}$ s$^{-1}$, with a transition to shear-thinning behavior obvious at higher shear rates. Birefringence of these films was also measured, and these results indicate chain alignment with shear in the shear-thinning regime. The shear rate at which alignment occurs is similar to that of the onset of shear thinning. This correlation between chain alignment and shear thinning provides direct evidence that the ability of PFPEs to lubricate hard drives at high shear rates is a direct consequence of the ability of the applied shear field to align the molecules on a molecular level. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q25.00010: Rheo-Dielectric Studies of Concentrated Polyisoprene Solutions Jai Pathak, Riccardo Casalini, C. M. Roland, Simone Capaccioli, Nikos Hadjichristidis We have performed dielectric spectroscopy on concentrated and well-entangled Cis-1,4-Polyisoprene solutions in n-tetradecane while they undergo steady step shear. The volume fraction of polymer is ~ 0.2, and the number of entanglements per chain varies between 20 and 30. Cis-1,4-Polyisoprene is a Stockmayer Type-A dipole, with one dipole moment component along the chain backbone. Hence dielectric spectroscopy on it reveals the global chain relaxation (normal mode), in addition to its segmental mode relaxation. We critically examine the normal mode in strongly non-linear flows in the cone (22.8 mm diameter)-platen (25 mm) geometry. We work with a sea of fluid surrounding the cone, and the edge remains intact deeper into the shear thinning regime. In addition to visual inspection of the sample edge, we also check for validity of the Cox-Merz rule, finding good agreement between the two. Preliminary results indicate that the dielectric strength continually decreases well into the non-linear regime, perhaps a consequence of the orthogonal directions of the dielectric measurement (velocity gradient direction) and the chain stretching (flow direction). [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q25.00011: Isothermal and Self-Seeding Crystallization from Polyethylene Solution Howard Wang, Narayan Ch Das, Kaikun Yang, Boualem Hammouda We have applied time-resolved small angle neutron scattering (SANS) to studying self-seeding and isothermal crystallization kinetics of low molecular weight polyethylene in solution. In one example, SANS spectra of isothermal crystallization of 2.1 kg/mol PE at 78.8 $^{\circ}$C from a solution containing 4.3 {\%} PE by mass show both the characteristic form and structure factors of stacked lamellae. The intensity hump around 0.025 {\AA}$^{-1}$ indicates the correlation among lamellae. On the other hand, SANS from the same solution after quenched from melt to the room temperature, then stored at 90 $^{\circ}$C for 5 min, followed by quenching to 78.7 $^{\circ}$C are different from those of isothermal crystallization; the first correlation peak from the structure factor is not obvious, implying that crystals are dominantly in single or few lamellae forms. A morphological model that accommodates arbitrary distributions of structures from individual lamellae to infinite stacks has been used to analyze the SANS data. A possible lyotropic transition from isolated to stacked lamellae is suggested. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q25.00012: Retention behavior of star-shaped polymers near the chromatographic critical condition Jesse Ziebarth, Yongmei Wang, Kyuhyun Im, Hae-Woong Park, Youngtak Kim, Sunyoung Ahn, Taihyun Chang The retention behavior of star-shaped polymers near the liquid chromatographic critical condition (LCCC) was investigated with 2-D liquid chromatography of polystyrene (PS) and lattice Monte Carlo simulations. At the LCCC for linear PS, stars with short arms elute after linear PS, while stars with long arms show a cross-over from late to early elution as branch number increases. Monte Carlo simulations show that two factors, excluded volume interactions and attractive end-effects resulting from initiator butyl groups, are required to explain the elution of star polymers. When polymers are modeled by random walk chains without excluded volume interactions, all stars are slightly more attracted to pores than corresponding linear chains. When polymers are modeled as self-avoiding walks (SAW) that include excluded volume interactions, stars with short arms elute later and stars with long arms elute earlier compared to linear chains. Incorporating more attractive-ends in SAWs results in the cross-over elution shown by long-armed stars in experiments. More attractive ends in PS star samples were confirmed through chromatographic retention of model monomers. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q25.00013: Mechanical Hole Burning Spectroscopic Investigation Qian Qin, Gregory McKenna Mechanical spectral hole burning (MSHB) was previously applied to a densely entangled block copolymer and successfully distinguishes the heterogeneous from the homogeneous state. Here, we chose polystyrene (PS) solutions to further investigate the effect of entanglement density on mechanical spectral hole burning. The entanglement density was varied by changing either solution concentration or molecular weight of the PS. Dynamics in different regimes ranging from close to the Rouse regime into the terminal region were also examined.Our results are consistent with a heterogeneous dynamics over both entanglement (or plateau) and Rouse regimes. Terminal relaxation dynamics, on the other hand, were always found to be homogeneous for the PS/diethyl phthalate solutions investigated. In addition, no significant dependence of the hole burning event on entanglement density was observed. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q25.00014: Linking number of linear chain in polymer solution and melts Qi Liao We present the statistical results of linking number of linear chains prepared by Monte Carlo and molecular dynamics simulations of polymer solution and melts. Simulations were performed for a wide range of chain lengths covering both non-entangled and entangled polymer dynamics. The simulation results for linking number dependence on chain length and distribution function are compared with the prediction and conjecture of topology. [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q25.00015: Computer simulation study on the shear-induced phase separation in semi-dilute polymer solutions by using Ianniruberto-Marrucci model Shotaro Nishitsuji, Mikihito Takenaka, Takashi Taniguchi, Hirokazu Hasegawa When shear flow is imposed to a semi-dilute polymer solution at its one phase region, the solution exhibits strong turbidity. This phenomenon is called shear-induced concentration fluctuation and/or phase separation. Theoretically, Doi and Onuki submitted a two fluid model which incorporates the gradient term of the stress tensor into Ginzburg-Landau type free energy functional, and account for the spatial heterogeneity of the stress field by dynamic asymmetry. We developed the new computer simulation scheme with Doi-Onuki theory, where the Ianniruberto-Marrucci model (IM model) is employed as the constitutive equation, to simulate the dynamics of the shear-induced concentration fluctuation and/or phase separation in semi-dilute polymer solutions. In the simulation results, the concentration fluctuations appear and coarsen with time under shear flow and the change in shear stress with time exhibits the overshoot behavior due to the relaxation of entanglement. These results agree with the experimental results. [Preview Abstract] |
Session Q26: Advances in Spectroscopy
Sponsoring Units: DCPChair: Kyrill Kuyanov, Massachusetts Institute of Technology
Room: Morial Convention Center 218
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q26.00001: IR and Raman spectroscopy of water and ice by ab initio simulations Manu Sharma, Davide Donadio, Giulia Galli We use ab initio molecular dynamics to compute the IR and Raman spectra of a variety of heavy water systems, ranging from pure water and ice, to liquid water confined between graphene foils and D-terminated diamond surfaces. The analysis of the simulated spectra provides the fingerprints of different hydrogen bonding environments, giving access to the complex structural and dynamical properties of water in various conditions. In addition our results provide a detailed, microscopic interpretation of IR and Raman experiments, as they allow us to assign univocaly spectroscopic bands to specific vibrational modes, and to identify electro-dynamic coupling between water molecules and surfaces, in the case of confined water. Our MD simulations also give a quantitative estimate of the anharmonicities and lifetimes of various vibrational modes. [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q26.00002: Infrared spectra of ice and water from first principles: intra vs. intermolecular dipole correlations Wei Chen, Manu Sharma, Raffaele Resta, Giulia Galli, Roberto Car We report simulated infrared (IR) spectra of deuterated ice and water using Car-Parrinello molecular dynamics with maximally localized Wannier functions. Experimental features of both ice and water are accurately reproduced within the harmonic approximation. Calculated line shapes are further decomposed in terms of intra and intermolecular dipole correlation functions with spatial resolution. This approach proves to be very useful to understand the origin of spectral features and the nature of the underlying hydrogen-bond (H-bond) network. We find that intermolecular dynamic charge fluctuations play a crucial role over the entire frequency range. [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q26.00003: Nuclear quantum effects in water Joseph Morrone, Roberto Car In this work, a path integral Car-Parrinello molecular dynamics\footnote{CPMD V3.11 Copyright IBM Corp 1990-2006, Copyright MPI fuer Festkoerperforschung Stuttgart 1997-2001.} simulation of liquid water is performed. It is found that the inclusion of nuclear quantum effects systematically improves the agreement of first-principles simulations of liquid water with experiment. In addition, the proton momentum distribution is computed utilizing a recently developed ``open'' path integral molecular dynamics methodology\footnote{J.A. Morrone, V. Srinivasan, D. Sebastiani, R. Car \emph{J. Chem. Phys.} \textbf{126} 234504 (2007).}. It is shown that these results, which are consistent with our computations of the liquid structure, are in good agreement with neutron Compton scattering data\footnote{G.F. Reiter, J.C. Li, J. Mayers, T. Abdul-Redah, P. Platzman \emph{Braz. J. Phys.} \textbf{34} 142 (2004).}. The remaining discrepancies between experiment and the present results are indicative of some degree of over-binding in the hydrogen bond network, likely engendered by the use of semi-local approximations to density functional theory in order to describe the electronic structure. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q26.00004: ABSTRACT WITHDRAWN |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q26.00005: Fluorescence correlation spectroscopy with Gaussian-Lorentzian volumes Michele Marrocco Fluorescence correlation spectroscopy (FCS) is a fundamental technique of fluorescence microscopy used for many applications of chemical physics where molecular diffusion plays primary roles [see, for example, O. Krichevsky and G. Bonnet, Rep. Prog. Phys. 65, 251 (2002)]. The milestone of FCS is called three-dimensional Gaussian (3DG) approximation. According to this assumption, the observation volume is modeled by Gaussian profiles along the main three spatial directions. This simplification is necessary to achieve analytical treatment of FCS measurements. In this work, analytical solutions are shown for another geometry corresponding to the fundamental mode of laser beams, i.e. the Gaussian-Lorentzian distribution, where Gaussian profiles are associated with the two transverse directions while a Lorentzian dependence characterizes the axial direction (coincident with the optical axis of the microscope). Analytical solutions are guaranteed for both one-photon and two-photon excitations of diffusing molecules [one-photon excitation is considered in M. Marrocco, Chem. Phys. Lett. 449, 227 (2007)]. Similarities and differences with respect to the 3DG approximation are discussed. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q26.00006: Studying hydrogen bond by Quantum Monte Carlo: binding energy and dispersion curve of the water dimer Leonardo Spanu, Fabio Sterpone, Luca Ferraro, Sandro Sorella, Leonardo Guidoni We present a variational MonteCarlo (VMC) and lattice regularized diffusion MonteCarlo (LRDMC) study of the binding energy and dispersion curve of the water dimer. One the aim of the present work is to investigate how the bonding of two water molecules, as a prototype of the hydrogen-bonded complexes, could be described by a JAGP wave function, an implementation of the resonating valence bond idea.Using a pseudopotential for the inert core of the Oxygen, with a full optimization of the variational parameters, we obtain at the VMC level a binding energy of -4.5(0.1) Kcal/mol, while LRDMC gives -4.9(0.1)Kcal/mol (exp. 5 Kcal/Mol). The calculated dispersion curve reproduces both at the VMC and LRDMC level the miminum position and the right curvature.The quality of the WF gives us the possibility to dissect the binding energy in different contributions by appropriately switching off determinantal and Jastrow terms in the JAGP: we estimate the dynamical contribution to the binding energy of the order of 1.4(0.2) Kcal/Mol whereas the covalent one about 1.0(0.2) Kcal/Mol. JAGP reveales thus a promising WF for describing systems where dispersive and covalent forces play an important role [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q26.00007: Hydrogen bond network ordering of liquid water confined between two metallic plates studied by ab initio molecular dynamics Marivi Fernandez-Serra We present an {\it ab initio} molecular dynamics study of liquid water confined between two palladium $\langle 111\rangle$ surface slabs, at room temperature. We analyze in detail the layering and ice-Ih-type ordering of water molecules close to the metal interfaces. In particular we show how water molecules next to the metal surface display a very different structural and dynamic behavior as compared those in the ``bulk" regions, which can be easily characterized using infra-red spectroscopy. Hydrogen bonds near the metallic interfaces are strengthen, inducing a characteristic ordering which decays with de distance from the surfaces. Our preliminary results show that this confined water presents an asymmetrical and stable structure as a function of Z (axis perpendicular to the surfaces) which results in a characteristic system with an overall ordering of the water molecules resembling that of ferroelectric systems. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q26.00008: Quasiparticle lifetime and edge localized states of graphite studied by high-resolution ARPES Katsuaki Sugawara, Takafumi Sato, Seigo Souma, Takashi Takahashi, Hirohito Suematsu We have performed an ultrahigh-resolution angle-resolved photoemission spectroscopy (ARPES) of high-quality graphite single crystal (kish graphite) to elucidate the band structure and many-body interaction. We clearly observed an extremely small hole-like Fermi surface centered at the K(H) point, a sharp quasiparticle peak in the vicinity of the Fermi level ($E_{F})$, and a kink in the dispersin at 0.18 eV.$^{1}$ We also found first evidence for the edge localized states near $E_{F}$, whose energy dispersion is markedly different from that of the bulk band. We will discuss the energy- and temperature-dependence of quasiparticle lifetime in relation to the strong electron-phonon coupling, the electron-plasmon interaction, and the electron-hole pair excitations. $^{1}$K. Sugawara\textit{ et. al., }Phys. Rev. Lett. \textbf{98} (2007) 036801. $^{2}$K. Sugawara \textit{et. al.,} Phys. Rev. B \textbf{73} (2006) 045124. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q26.00009: Fully ab-initio study of the optical response of charged rare gas clusters Fernando Nogueira, Micael Oliveira, Miguel Marques Charged rare-gas clusters are markedly different from their neutral, van der Waals bonded counterparts. The removal of an electron from a strongly antibonding orbital causes the bonding to become much stronger and shifts the optical absorption to the visible region. We report a fully ab-initio determination of the geometry, electronic structure, and optical response of small singly charged Ne, Ar, Kr and Xe clusters. All calculations were performed using a pseudopotential based real space implementation of Time-Dependent Density-Functional Theory. We find that GGA leads, in general, to much better results than LDA, even though it predicts some absorption peaks at slightly higher energies than those found experimentally. The lighter elements show a single absorption peak but in the heavier elements spin-orbit interaction induces a splitting of the absorption peak, in good agreement with experiment. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q26.00010: Continuous fluorescence from single colloidal semiconductor nanocrystals Xiaoyong Wang, Megan Hahn, Todd Krauss, Keith Kahen, Xiaofan Ren, Manju Rajeswaran Photoluminescence (PL) intermittency, or ``blinking'', first discovered for single CdSe colloidal nanocrystals (NCs) a decade ago, has been established as an intrinsic and unavoidable property of all colloidal semiconductor NCs. Indeed, fluorescence blinking is generally accepted as the hallmark of single fluorophore emission. By judicious synthesis of a semiconductor shell of ZnSe around a CdSe NC, we were able to completely suppress PL blinking in these NCs on time scales from milliseconds to hours. Interestingly, these NCs have a radiative lifetime of about 5 ns, 3-4 times smaller than the value routinely measured from traditional CdSe NCs. Finally, single particle PL spectra are highly unusual, and display three peaks separated by about 160 meV. Possible mechanisms for the non-blinking behavior, the short radiative lifetime, and the multiple emission peaks will be discussed. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q26.00011: ABSTRACT WITHDRAWN |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q26.00012: Cubic Nonlinearity of Ag/Au Coreshells Seongmin Ma, JaeTae Seo, Qiguang Yang, Bagher Tabibi, Doyle Temple, Wanjoong Kim, Jinha Heo, Wan Soo Yun, Sungsoo Jung Cubic nonlinearity of Ag/Au spherical coreshells in toluene were investigated by polarization-resolved degenerate four-wave mixing with 6-ns laser pulse at 532 nm with 10-Hz repetition. The average diameter of Ag core was $\sim $6.7 nm. The overall diameter of Ag/Au was changed from 6.1 to 9.1 nm by adding more mole concentration of HAuCl$_{4}$, which resulted in the change of surface plasmon resonance peaks from 411 to 492 nm. The hyperpolarizability of Ag/Au coreshells with parallel and orthogonal excitations were changed from $\sim $3.4$\times $10$^{-38}$ to $\sim $2.7$\times $10$^{-40}$ m$^{5}$/V$^{2}$ and from $\sim $2.5$\times $10$^{-38}$ to $\sim $1.1$\times $10$^{-40}$ m$^{5}$/V$^{2}$, respectively, as the shell thickness of Au was increased. It implies that dephase or decay rates of materials have main contributions on cubic nonlinearity rather than excitation cross-section. This work at Hampton University was supported by Army Research Office (W911NF-07-1-0608) and National Science Foundation (HRD-0734635, HRD-0630372, ESI-0426328/002, and EEC-0532472). [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q26.00013: Tracking Nanocars Using Single Molecule Spectroscopy Stephan Link, Saumyakanti Khatua, Kevin Claytor, Jason Guerrero, James Tour Nanocars belong to an exciting new class of molecules known as molecular machines. They consist of four fullerene or carborane wheels attached to a chassis consisting of a stiff aromatic backbone. The nanocars are designed to roll over a solid surface making them potential candidates for nano-cargo transporters. Here, we present our results on tracking of nanocars by single molecule fluorescence spectroscopy. By attaching the fluorescent tag tetramethylrhodamin isothiocyanate to the nanocars, we were able to visualize and track individual nanocars using confocal sample scanning microscopy. Fluorescence images were analyzed for directional movement as opposed to random diffusion or stage drift. We had to overcome 2 major problems in our image analysis: 1) fluorescence photo-blinking and 2) photo-bleaching. We developed routines that are capable of tracking individual fluorescent molecules while accounting for photo-blinking and photo-bleaching. The ability to track individual nanocars is checked independently by simulations. Our method is not limited to tracking of nanocars however, and can be extended to follow individual molecules in biological or mechanical systems as well. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q26.00014: Time-resolved photoluminescence Studies of CdSe Core and CdSe/ZnS Core/Shell colloidal nanoparticles as function of temperature and concentration M. Yasar, A. Antipov, M. Bell, V. Mitin, A. Verevkin, A. Petrou We report the results of time-resolved photoluminescence studies (TRPL) of CdSe Core and CdSe/ZnS Core/Shell colloidal nanoparticles dissolved in toluene in the temperature range of 10-300 K. The integrated PL intensity of nanoparticles in liquid changes little between 10 K and 300 K, whereas the intensity of the ``dry'' nanoparticles quenches dramatically as temperature is increased. The PL exhibits biexponential decay characteristics; the longer decay component is affected by the presence of the solvent. In particular, the phase transitions (the glass-solid and the solid-liquid) of the solvent are clearly detected by our experiment. In addition, the PL efficiency and decay times are studied as a function of nanoparticle concentration. Our findings suggest that the PL quantum yield as well as the decay times strongly depend on the solvent temperature, as well as nanoparticles concentration. These results are discussed in terms of reabsorption and reemission between nanoparticles. We acknowledge support of NSF IGERT, NYSTAR and ONR. [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q26.00015: Photon-Correlation Fourier Spectroscopy on CdSe Nanocrystals Lisa Marshall, Xavier Brokmann, Moungi Bawendi The emission spectrum of a single emitter can be artificially widened and blurred due to fluctuations in emission energy, i.e. spectral diffusion. ~This spectral diffusion can be much more rapid than the time required to collect sufficient photons to measure a spectrum. ~We use a new method, Photon-Correlation Fourier Spectroscopy (PCFS), to ``freeze'' spectral diffusion and obtain spectral information of single CdSe nanocrystals on timescales comparable to the lifetime of the emitter.~ This method cross-correlates the two outputs of a Michelson interferometer, providing a histogram of frequency shifts between two photons separated by a given amount of time. ~~We apply PCFS to single nanocrystals in a confocal geometry.~ We also combine PCFS with Fluorescence Correlation Spectroscopy (FCS) to resolve single nanocrystal linewidths from a solution of nanocrystals diffusing under a microscope objective.~ [Preview Abstract] |
Session Q27: Focus Session: One-dimensional Spin Chains
Sponsoring Units: GMAGChair: Christopher Landee, Clark University
Room: Morial Convention Center 219
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q27.00001: From geometry and characteristic length scales to different relaxation regimes in Single-Chain Magnets Alessandro Vindigni The recently discovered Single-Chain Magnets can be considered a novel class of nanomagnets, representing the ultimate miniaturization limit of bistable magnetic nanowires. The name highlights the analogy with Single-Molecule Magnets: Both SMMs and SCMs show remanent magnetization in zero magnetic field due to slow dynamics, in spite of the reduced dimensionality (0D and 1D respectively) which forbids the occurrence of magnetic ordering. Beyond this common feature, the origin of slow dynamics is remarkably different. In particular, for a genuine 1D magnetic system slow dynamics at low temperature comes from the divergence of the relaxation time as the critical point, T=0, is approached. This scenario is actually encountered in SCMs at relatively high T in a regime where each spin chain behaves as if it were infinite. However, for a wide class of molecular chains (i) naturally occurring defects and (ii) non-collinearity between the single-spin anisotropy directions and the crystal axes break the site-by-site translational invariance along the chain. Both these phenomena affect slow relaxation. We will show how this fact can be accounted for through \textit{ad hoc} extensions of Glauber dynamics. Different relaxation regimes are observed depending on the relationship between the correlation length and the actual distance between two defects, the lattice spacing and the domain-wall size. [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q27.00002: Dynamical correlations of spin-1/2 chains Rodrigo Pereira, Steven White, Ian Affleck We show that the long-time behavior of the self-correlation function $\langle0|S_j^z(t)S_j^z(0)|0\rangle$ of the S=1/2 XXZ model in the critical regime is dominated by high-energy excitations. We relate the exponents of the long-time decay to phase shifts which are known exactly from the Bethe ansatz. The same exponents are connected with the singularities of the dynamical structure factor $S^{zz}(q,\omega)$. By combining the analytical results with the time-dependent density matrix renormalization group (tDMRG), we calculate $S^{zz}(q,\omega)$ to very high precision. [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q27.00003: Finite-temperature lineshapes in gapped quantum spin chains Robert Konik, Fabian Essler We consider the finite-temperature dynamical structure factor (DSF) of gapped quantum spin chains such as the spin one Heisenberg model and the transverse field Ising model in the disordered phase. At zero temperature the DSF in these models is dominated by a delta-function line arising from the coherent propagation of single particle modes. Using methods of integrable quantum field theory we determine the evolution of the lineshape at low temperatures. We show that the line shape is in general asymmetric in energy and becomes Lorentzian only at temperatures far below the gap. We discuss the relevance of our results for the analysis of inelastic neutron scattering experiments on gapped spin chain systems such as ${\rm CsNiCl_3}$ and ${\rm YBaNiO_5}$. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q27.00004: A topological classification of gapped spin chains by quantized Berry phases: VBS picture and the fractionalization Takaaki Hirano, Hosho Katsura, Yasuhiro Hatsugai We define a local order parameter of gapped integer spin chains by the Berry phase, where a local spin twist is used as a cyclic parameter to define the Berry connection of the ground state[1]. It is topologically stable against small perturbations unless the level crossing occurs since the Berry phase is quantized to 0 or $\pi$ if the system has the time reversal symmetry. We calculate this Berry phase for the S = 1, 2 dimerized Heisenberg model and the S = 2 Heisenberg model with D-term. The Berry phases of the generalized valence bond solid states are also evaluated analytically. The results are consistently understood by the valence bond pictures. It implies fundamental objects of the gapped integer spin systems are of spin 1/2 (fractionalization)[2]. [1] Y. Hatsugai, J. Phys. Soc. Jpn. 75, 123601 (2006), arXiv:cond-mat/ 0603230. [2] T. Hirano, H. Katsura, and Y. Hatsugai, arXiv:0710.4198 (2007). [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q27.00005: Correlation amplitude and entanglement entropy in random spin chains Jos\'e Hoyos, Andr\'e Vieira, Nicolas Laflorencie, Eduardo Miranda Using strong-disorder renormalization group, numerical exact diagonalization, and quantum Monte Carlo methods, we revisit the random antiferromagnetic XXZ spin-1/2 chain focusing on the long-length and ground-state behavior of the average time-independent spin-spin correlation function $C(l)=\upsilon l^{-\eta}$. In addition to the well-known universal (disorder-independent) power-law exponent $\eta=2$, we find interesting universal features displayed by the prefactor $\upsilon=\upsilon_o/3$, if $l$ is odd, and $\upsilon=\upsilon_e/3$, otherwise. Although $\upsilon_o$ and $\upsilon_e$ are nonuniversal (disorder dependent) and distinct in magnitude, the combination $\upsilon_o + \upsilon_e = -1/4$ is universal if $C$ is computed along the symmetric (longitudinal) axis. The origin of the nonuniversalities of the prefactors is discussed in the renormalization-group framework where a solvable toy model is considered. Moreover, we relate the average correlation function with the average entanglement entropy, whose amplitude has been recently shown to be universal. The nonuniversalities of the prefactors are shown to contribute only to surface terms of the entropy. Finally, we discuss the experimental relevance of our results by computing the structure factor whose scaling properties, interestingly, depend on the correlation prefactors. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q27.00006: Electronic and magnetic properties of the chain compounds K$_3$T$_2$O$_4$ (T=Ni, Pd, Pt) Klaus Koepernik, Deepa Kasinathan, Walter Schnelle, Helge Rosner Recent susceptibility measurements on the chain compound K$_3$Pd$_2$O$_4$ were interpreted in terms of localized spin 1/2 Pd moments on one of the two crystallographically different Pd sites, only [{\it R.V. Panin et al., J. Solid St. Chem. {\bf 180}, 1566 (2007)}]. The main exchange interaction was reported to be antiferromagnetic from the negative Curie-Weiss temperature $\Theta$=$-$80K. Earlier measurements for the isostructural and isovalent Ni and Pt compounds suggest an antiferromagnetic coupling for T=Ni whereas it is ferromagnetic for T=Pt. [{\it H. Zentgraf et al., Z. Aanorg. allg. Chem., {\bf 462}, 92 (1980)}] Here, we report an electronic structure study focusing on the interplay of covalency, spin-orbit coupling and correlation to describe the behavior of this compound family. The inclusion of strong Coulomb repulsion at the transition-metal sites is necessary to obtain the correct insulating ground state observed in recent measurements for the Pd system. The origin of the different magnetic behavior will be discussed. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q27.00007: ABSTRACT WITHDRAWN |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q27.00008: muSR study on quasi-one-dimensional cobalt/rhodium oxides Peter Russo, Jun Sugiyama, H. Nozaki, Y. Ikedo, K. Mukai, T. Takami, H. Ikuta, Daniel Andreica, Alex Amato Thanks to the unique power of muon-spin spectroscopy, we found that the quasi-one-dimensional Co-Rh oxides $A_{n+2}$CoRh$_{n}$O$_{3n+3}$ ($A$ = Ca, Sr; $n$=1, 2, and 3) exhibit a two-dimensional antiferromagnetic transition that ranges from $T_{\rm N}^{\rm on}$=185~K for $n$=1 to 125~K for $n$=3 with a transition width ($\Delta T$) of about 80~K. The variation of $T_{\rm N}^{\rm on}$ with $n$ is explained by the increase in the distance between the neighboring CoRh$_n$O$_{3n+3}$ chains. Static magnetic order is observed below the endpoint of $T_{\rm N}$ (=$T_{\rm N}^{\rm on}$-$\Delta T$) for each of the three samples. The existence of the two-frequency components in the ZF-spectrum indicates the appearance of ferrimagnetic order for Ca$_3$CoRhO$_6$ below 20~K. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q27.00009: Magnetic field versus temperature phase diagram of the spin-1/2 bond-alternating-chain antiferromagnet F$_{5}$PNN Yasuo Yoshida, Tatsuya Kawae, Bohdan Andraka, Yasumasa Takano, Yuko Hosokoshi, Katsuya Inoue, Nobuya Maeshima, Kouichi Okunishi, Kiyomi Okamoto, Toru Sakai The S = 1/2 Heisenberg bond-alternating-chain antiferromagnet pentafluorophenyl nitronyl nitroxide (F$_{5}$PNN) exhibits Tomonaga-Luttinger-liquid behavior in the temperature dependence of the specific heat above the field-induced magnetic ordering temperature [1]. We have determined the magnetic phase diagram of this compound from the specific heat. For a single crystal, the boundary of the ordered phase in the field-vs-temperature diagram is symmetric with respect to the central field of the gapless region H$_{c1} \quad \le $ H $\le $ H$_{c2}$, whereas a distorted phase boundary is observed for a powder sample, whose ordering temperature is reduced. Calculations based on the finite-temperature density-matrix renormalization group suggest the possibility of a novel incommensurate phase due to frustration in the powder, in a narrow field range near the central field. [1]Y. Yoshida \textit{et al.,} Phys. Rev. Lett.\textbf{94}, 037203 (2005). [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q27.00010: $^{51}$V NMR Study of a quasi-1D XXZ spin chain system BaCo$_{2}$V$_{2}$O$_{8}$ K.-Y. Choi We present $^{51}$V NMR measurements on the quasi-one-dimensional $S$=1/2 XXZ antiferromagnet BaCo$_{2}$V$_{2}$O$_{8}$ along the chain. The $^{51}$V NMR spectrum shows that the quantum phase transition takes place from the N\'{e}el ordered phase to the incommensurately ordered phase around Tc$_{1}\approx $4 T. In addition, we studied a spin-lattice relaxation rate, 1/T$_{1}$, as a function of temperature and field. Our results are compared to a theoretical prediction and are discussed in terms of a softening of spinons in an external field. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q27.00011: Spin Supersolid in Anisotropic Spin-One Heisenberg Chain Pinaki Sengupta, Cristian Batista We consider an $S=1$ Heisenberg chain with strong exchange ($\Delta=J_z/J_{\perp}$) and single--ion uniaxial anisotropy ($D$) in a magnetic field ($B$) along the symmetry axis. The low energy spectrum is described by an effective $S=1/2$ XXZ model that acts on two different low energy sectors for a finite range of fields. The vacuum of each sector exhibits Ising-like antiferro magnetic ordering coexisting with the finite spin stiffness obtained from the exact solution of the XXZ model. In this way, we demonstrate the existence of a spin supersolid phase. We also compute the full $\Delta-B$ quantum phase d iagram using a quantum Monte Carlo (QMC) method. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q27.00012: Interacting vs. free quasiparticle description for the spin-1/2 chain Sebastian Eggert, Michael Bortz, Michael Karbach, Imke Schneider We consider the individual excitations of the antiferromagnetic spin-1/2 chain in the Luttinger Liquid formalism. The inclusion of Umklapp scattering and other irrelevant operators introduces an interaction between quasiparticles, which lifts the degeneracy in the linearized spectrum. So far this effect has been systematically understood only for the lowest excited state in each sector. We now show for a number of low lying excitations how rotations in the degenerate subspaces diagonalize the Umklapp term perturbatively. Our results are verified by taking advantage of the Bethe solution of the spin chain to perform an exact finite size scaling analysis for individual excited levels. From this we can identify the correspondence of quantum numbers between the bosonic and Bethe states. We also make contact to the well known quantum numbers at the non-interacting free fermion point. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q27.00013: Magnetic Susceptibilities of Finite Ising Chains in the Presence of Defect Sites Serguei Goupalov, Daniel Mattis Any antiferromagnet with zero net magnetic moment exhibits limited response to an external homogeneous magnetic field. This changes dramatically in the presence of defect sites, even those that carry no spin. We examine the excess susceptibilities, longitudinal and transverse, due to one or more defects at arbitrary separations in a finite Ising chain with nearest-neighbor couplings. Adapting matrix methods to finite chains we derive exact formulas valid at all $T \ge 0$. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q27.00014: Excitation spectrum and magnetic properties of the new Haldane-gap material NENB Sergei Zvyagin, E. \v{C}i\v{z}m\'{a}r, M. Ozerov, O. Ignatchik, T. Papageorgiou, J. Wosnitza, J. Krzystek, Z. Zhou, J.L. Wikaira, C.P. Landee, M.M. Thurnbull Results of high-field ESR and magnetization studies of the new spin-1 Haldane-chain material [Ni(C$_2$H$_8$N$_2$)$_2$NO$_2$](BF$_4$) (NENB) are reported. A definite signature of the Haldane state in NENB was obtained. From the analysis of the frequency-field dependence of magnetic excitations in NENB, the spin-Hamiltonian parameters were calculated, yielding $\Delta/k_{B} = 17.4$~K, $g_{\parallel} =2.14$, $D/k_{B}=7.5$~K, and $|E/k_{B}|=0.7$~K for the Haldane gap, $g$ factor and the crystal-field anisotropy constants, respectively. The presence of fractional $S=1/2$ chain-end states, revealed by ESR and magnetization measurements, is found to be responsible for spin-glass freezing effects. In addition, extra states in the excitation spectrum of NENB have been observed in the vicinity of the Haldane gap, which origin is discussed. [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q27.00015: Direct comparison of hole doping effects due to cation and to oxygen content on magnetic properties of the spin-chain system Ca$_{2+x}$Y$_{2-x}$Cu$_5$O$_{10-\delta}$ Keeseong Park, Theodore Cackowski, John Markert A quasi-one dimensional system, Ca$_{2+x}$Y$_{2-x}$Cu$_5$O$_{10-\delta}$ (CaYCuO) is studied to compare the magnetic effects of cation doping with those of oxygen deficiency. Hole doping ($p$) due to cation concentration ($x$) and oxygen deficiency ($\delta$) in other copper oxides is often observed to obey $p = x - 2 \delta$. CaYCuO has a simple edge shared CuO structure and is hole dopable up to a formal copper valence of 2.4. Various Ca doped CaYCuO specimens were fabricated in different oxygen environments, including high pressure oxygen up to 170 atm. X-ray diffraction and iodometric titration were used to confirm phase and oxygen content. Samples are found to be more oxygen deficient with increasing cation doping. Magnetic moment and specific heat were measured. The antiferromagnetic phase was observed up to $x = 1.0$ doping for fully oxygenated specimens. N\'eel temperatures decreased with increasing cation doping and decreasing oxygen deficiency. The decrease in N\'eel temperature is found to be more than expected from hole doping $p = x - 2 \delta$. A new quantity that describes all of the behavior of N\'eel temperature, $p' = x - (2/3) \delta$, is proposed. [Preview Abstract] |
Session Q28: Focus Session: Thermoelectricity in Bulk Materials
Sponsoring Units: DMP FIAPChair: Joshua Zide, University of Delaware
Room: Morial Convention Center 220
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q28.00001: Thermoelectric Transport Coefficients in Correlated Condensed Matter Invited Speaker: We present a recently developed formalism for computing thermoelectric transport coefficients for standard models of correlated matter, such as the Hubbard and the $t-J$ model. Successful predictions of this method in the context of the Hall constant are noted. This success helps to motivate the extension to the Seebeck coefficient, the Lorentz number L, and the figure of merit $Z T$, in terms of novel equal time correlation functions of two new fundamental operators, the thermal operator $\Theta^{xx}$ and the thermoelectric operator $\Phi^{xx}$. Along the way, we uncover a new sum rule for the dynamical thermal conductivity for many standard models, precisely analogous to the f-sum rule for the electrical conductivity. Also along the way, we throw light on Lord Kelvin's early ideas on reciprocity, worked out within the context of equilibrium thermodynamics. The precise connection between Kelvin's formulation, and the later and more rigorous formulation of Onsager is commented upon. The new formalism is tested in simple settings and recent computational results are displayed for testing the frequency dependence of these variables in certain standard models. Close agreement with existing transport experiments on sodium cobaltates $Na_{.68} Co O_2$ in the Curie Weiss phase is found. Finally some new predictions are made regarding triangular lattice systems, motivated by the sodium cobaltate system. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q28.00002: Enhancing figure-of-merit of n-type Bi$_{2}$Te$_{3-x}$Se$_{x}$ Xiao Yan, Jian Yang, Yi Ma, Bed Poudel, Yucheng Lan, Dezhi Wang, Zhifeng Ren, Qing Hao, Gang Chen Themoelectric materials with high dimensionless figure-of-merit (ZT) are greatly demanded in energy industry, among which bismuth telluride (Bi$_{2}$Te$_{3})$ exhibits decent ZT around room temperature. However, thermal conductivity of Bi$_{2}$Te$_{3}$ is still high which limits its wider use for low temperature cooling devices. Here we investigate nanostructured bulk n-type Bi$_{2}$Te$_{3-x}$Se$_{x}$ by reducing the thermal conductivity via increased phonon scattering of the significantly increased grain boundaries due to nano size grains. We first make alloyed nanopowders by mechanical alloying a mixture of elements with the right ratio and then 100{\%} nanostructured samples by hot press. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q28.00003: High pressure transport studies on Sb$_{2}$Te$_{3}$ and BiSbTe$_{3}$ Matthew Jacobsen, Andrew Cornelius Interest regarding the abilities of thermoelectric materials has produced exciting results regarding their properties in the thin-film form [3]. However, little work has been done regarding the pressure tuning of the thermoelectric figure of merit for these materials materials. Some previous work has suggested that it would be useful to investigate this further using pressure tuning [1],[2]. Based upon this interest, facilities have been developed in our laboratory for the study of the relevant properties under high pressure up to near 20 GPa. Results of this work on Sb$_{2}$Te$_{3}$ and BiSbTe$_{3}$ will be presented here from the use of these facilities. [1]Chen, G., Dresselhaus, M.S., Dresselhaus, G., Fleurial, J.-P., and Caillat, T. \emph{Recent developments in themoelectric materials}. International Materials Reviews, \textbf{48}, 45-66 (2003). [2]Rowe, D.M. \emph{CRC Handbook of Thermoelectric Materials}. CRC Press, 1995. [3]Venkatasubramanian, R., Silvola, E., Colpitts, T., and O'Quinn, B. \emph{Thin-film thermoelectric devices with high room-temperature figures of merit}. Nature, \textbf{413}, 597-602, 2001. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q28.00004: Inelastic scattering on antimony bearing thermoelectric materials: rattlers revisited R. P. Hermann, W. Schweika, H.-C. Wille Antimony element specific measurements on EuFe$_4$Sb$_{12}$ and Zn$_4$Sb$_3$ will be presented in parallel with inelastic neutron scattering measurements on Zn$_4$Sb$_3$. These results yield new insight in the rattler concept. First, the antimony specific DOS in EuFe$_4$Sb$_{12}$ and CoSb$_{3}$ provides experimental insight into the guest-host interaction mechanism which is required for a lowering of the thermal conductivity[1]. Second, the scattering vector dependence of the Zn$_4$Sb$_3$ inelastic response reveals that ''rattling'' behavior is not restricted to single atoms and that more complex structural units, such as dumbbells, can exhibit a similar behavior that is responsible for a low thermal conductivity.[2] [The European Synchrotron Radiation Facility is acknowledged for provision of the synchrotron radiation facility at beamlines ID18 and ID22N and the European Community - ARI HPRI-2001-00175 is acknowledged for provision of neutron scattering beam time at the FRJ-II research reactor in J\"ulich, Germany.] [1] Schweika W. et al. Phys. Rev. Lett. 99, 125501 (2007). [2] Wille H.-C. et al. Phys. Rev. B 76, 140301(R) (2007). [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q28.00005: ABSTRACT WITHDRAWN |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q28.00006: Lattice thermal conductivity of AgSbTe$_{2}$ Donald Morelli, Vladimir Jovovic, Suraj Thiagarajan, Joseph Heremans The lattice thermal conductivity of high-quality crystals of AgSbTe$_{2}$ is nearly temperature-independent from 80 to 300 K, and has a value of 0.65$\pm $0.05 W/mK. This value corresponds to the minimum possible thermal conductivity, where the phonon mean free path equals the interatomic distance. The result is analyzed in terms of scattering mechanisms: Umklapp and Normal phonon-phonon scattering processes are the dominant mechanism. It is, to our knowledge, the first system in which intrinsic phonon-phonon interactions limit the lattice thermal conductivity to such a low value. This in turn results from the extreme anharmonicity of the chemical bonding in AgSbTe$_{2}$, which gives rise to one of the highest Gr\"{u}neisen parameter of any solid. The phonon-phonon scattering rate being a function of the square of the latter, the lattice thermal conductivity is also one of the lowest. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q28.00007: Galvanomagnetic and thermomagnetic properties of AgSbTe$_{2}$ Vladimir Jovovic, Joseph Heremans We report here data on the electrical resistivity, magnetoresistance, Hall effect, thermoelectric power, magneto-Seebeck and transverse Nernst-Ettingshausen coefficients of high-quality crystals of AgSbTe$_{2}$, measured from 77 to 400 K in magnetic fields up to 2 Tesla. Thermal conductivity data are also reported in samples with a much higher carrier concentration than those used in our other work.$^{1}$ From an analysis of these data, we conclude AgSbTe$_{2}$ to be a very narrow-gap semiconductor (Eg$\approx $ 7.6$\pm $3 meV) with $\sim $5x10$^{19}$ cm$^{-3}$ holes in a valence band with a high density of states and thermally excited $\sim $10$^{17}$ cm$^{-3}$ high-mobility (2,200 cm$^{2}$/Vs) electrons at 300 K. The estimated hole density-of-states effective masses, including Fermi pocket degeneracy, is 2.5$\pm $0.5 free electron masses; the electron mass is about two orders of magnitude smaller, but the exact value cannot be resolved. The lattice term dominates the thermal conductivity, $^{1}$ and the electronic contribution in samples with both electrons and holes present is in turn dominated the ambipolar term. The low thermal conductivity and very large hole mass of AgSbTe$_{2}$ make it a most promising p-type thermoelectric material. [1] Lattice thermal conductivity of AgSbTe$_{2}$, D. T. Morelli, V. Jovovic, S. J. Tiagarajan, and J. P. Heremans, Abstract reported here. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q28.00008: De Haas - van Alphen quantum oscillations in AgSbTe$_{2}$ Joseph Heremans, Vladimir Jovovic Quantum oscillations are observed in the magnetic susceptibility of $p$-type single crystals of AgSbTe$_{2}$, at 5 K in magnetic fields up to 5 Tesla (the De Haas - van Alphen effect). The period of the oscillations is analyzed in terms of the cross-section of the hole Fermi surface. Recent band structure calculations$^{1}$ illustrate the dependence of the hole Fermi surface structure on the ordering of the Ag and the Sb atoms on the metal sublattice, and provide guidance for the interpretation of the periods of the oscillations. Galvanomagnetic studies of the same sample$^{2}$ provide a hole density of 5x10$^{19}$ cm$^{-3}$, so that an image for the valence band Fermi surface can be reconstructed at that carrier density level. The measured Fermi surface cross-sections, together with the transport properties, give an overall picture that is consistent with the calculation valid for AgSbTe$_{2}$ with Ag and Sb ordered on the metal sublattice. [1] Khang Hoang, S. D. Mahanti, James R. Salvador, and Mercouri G. Kanatzidis, Atomic Ordering and Gap Formation in Ag-Sb Based Ternary Chalcogenides, Phys. Rev. Lett. 2007, accepted [2] V. Jovovic and J. P. Heremans, Galvanomagnetic and Thermomagnetic properties of AgSbTe$_{2}$, abstract here [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q28.00009: Precipitation anneals in the PbTe-PbS system Christopher Jaworski, Vladimir Jovovic, Joseph Heremans Bulk samples of (PbS)$_{x}$(PbTe)$_{1-x}$ have been prepared in the range 4{\%}$<$x$<$15{\%}. There is a miscibility gap in the pseudo-binary PbTe/PbS phase diagram that enables the precipitation of a PbS-rich phase in a PbTe-rich matrix. To that effect, the samples were compounded in the liquidus, and then quenched, resulting in a supersaturated solution. Conditions for precipitation anneals were then developed, and samples with nano-precipitates of a PbS-rich phase in a PbTe-rich parent phase have been obtained, as evidenced by X-ray diffractions. This technique, previously applied to Pb-rich PbTe,$^{1}$ is aimed at creating a bulk material containing a substantial fraction of nanometer-sized particles in order to mimic the morphology of quantum-dot superlattices that reached very high values of the thermoelectric figure of merit.$^{2}$ Preliminary thermoelectric and thermal conductivity data will be presented, along with galvanomagnetic and thermomagnetic data aimed at identifying the electronic properties of the materials. [1] J. P. Heremans, C. M. Thrush and D. T. Morelli, Thermopower enhancement in PbTe with Pb precipitates, J. Appl. Phys. \textbf{98} 063703 (2005 [2] T. C. Harman, M. P. Walsh, B. E. LaForge, and G. W. Turner, J. Electron. Mater. \textbf{34}, L19 (2005). [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q28.00010: High-Resolution $^{125}$Te NMR of Novel Thermoelectric Materials E.M. Levin, K. Schmidt-Rohr, B.A. Cook, Mi-Kyung Han, M.G. Kanatzidis Several novel Te-based thermoelectric materials with extraordinary figure of merit \textit{ZT} $\ge $1.4 have been studied by high-resolution 25 kHz magic angle spinning $^{125}$Te nuclear magnetic resonance (NMR) in order to investigate variations in composition on the nano-scale. A 20-fold wider $^{125}$Te NMR signal of both AgSbGe$_{4}$Te$_{6}$ and AgSbGe$_{5.67}$Te$_{7.67}$ ($\sim $90 kHz) compared to that of PbTe (4.5 kHz) indicates a variation of shifts due to local composition fluctuations. The similar total shift of the main peak in Ag$_{0.53}$Pb$_{18}$Sb$_{1.2}$Te$_{20}$ (-1790 ppm) and PbTe (-1750 ppm) and similarly long T$_{2}$ relaxation time show that the majority of Te atoms in both materials has a similar environment. A second peak in Ag$_{0.53}$Pb$_{18}$Sb$_{1.2}$Te$_{20}$ at -1600 ppm shows the presence of a second type of Te site, accounting for $\sim $1/3 of all Te. These are apparently located in {\{}Ag,Sb{\}}-rich inclusions, as indicated by a much shorter T$_{2}$, which can be due to the effect of quadrupolar relaxation of $^{121}$Sb or $^{123}$Sb (spin 5/2 or 7/2, respectively) on $^{125}$Te. Our data confirm suggestions made by Hsu\textit{ et al., }Science (2004) and by Chen \textit{et al.}, Appl. Phys. Lett. (2005) about the presence of nano-scale inclusions in Ag$_{0.53}$Pb$_{18}$Sb$_{1.2}$Te$_{20}$, which result in low lattice thermal conductivity and high \textit{ZT}. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q28.00011: Substitution effects on the thermoelectric properties of Sr$_{2}$IrO$_{4}$ Yannick Klein, Ichiro Terasaki Layered cobalt oxides with the low-spin (LS) state, such as Na$_{x}$CoO$_{2}$ and Ca$_{3}$Co$_{4}$O$_{9}$, have shown a good potential as p-type materials for thermoelectric applications [1, 2]. Layered rhodium oxides are also characterized by a high Seebeck coefficient and a low metallic resistivity [3, 4]. The LS configuration of the transition metal is considered to be at the origin of this unusual property. We have investigated the thermoelectric properties of Sr$_{2}$IrO$_{4}$, which is isostructural to the superconductors La$_{2}$CuO$_{4}$ and Sr$_{2}$RuO$_{4}$. Contrary to the later ones, Sr$_{2}$IrO$_{4}$ is a semiconductor with a narrow gap and a weak ferromagnetic ground state (T$_{c}\approx $ 240K, M$_{s}\approx $ 0.14$\mu _{B}$/Ir) [5]. For polycrystalline samples, the resistivity is of the order of 10$\Omega $.cm and the thermoelectric power shows a broad maximum around 110K (S $\approx $ 300$\mu $V.K$^{-1})$ denoting the activation of minority carriers. In order to increase the carrier concentration, we tried many substitutions for Sr and Ir. Results of resistivity, thermoelectric power and susceptibility will be discussed. \newline [1] I. Terasaki\textit{ et al.}, Phys. Rev. B \textbf{56}, R12685 (1997). [2] A. C. Masset \textit{et al.}, Phys. Rev. B \textbf{62}, 166 (2000). [3] Y. Klein \textit{et al.}, Phys. Rev. B \textbf{73} 165121 (2006). [4] S. Shibasaki \textit{et al.}, Phys. Rev. B \textbf{74}, 235110 (2006). [5] G. Cao \textit{et al}, Phys. Rev. B \textbf{57}, R11039 (1998). [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q28.00012: Temperature - Concentration Phase Diagram from First Principles Calculations in P2-Na$_{x}$CoO$_{2}$ Ying S. Meng, Yoyo Hinuma, Gerbrand Ceder The unusual electronic and magnetic properties of Na$_{x}$CoO$_{2}$ are attracting considerable interest in recent years. At high sodium content, the system displays unusually strong thermoelectric effect and a low metallic resistance. In this paper, we present temperature - concentration phase diagram for Na$_{x}$CoO$_{2}$ (0.5 $<$= x $<$= 1) obtained with first principles method of the Density Functional Theory (DFT) in the Generalized Gradient Approximation (GGA) scheme, where charge on Co is delocalized. In comparison we will also present the results obtained from the GGA with Hubbard U correction (GGA+U) scheme, where charge on Co is completely localized, forming Co$^{3+}$ and Co$^{4+}$. The stable Na-vacancy ordering schemes at various concentrations obtained in this work will be compared and contrasted with available experimental observations. We will discuss the key interactions that determine the ground states and the order/disorder transition temperatures of these states, which is important for understanding the thermoelectric properties of these mixed valence oxides. [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q28.00013: ABSTRACT WITHDRAWN |
Session Q29: Focus Session: Carbon Nanotubes and Related Materials X: p-n Junctions and Mesoscopic Effects in Graphene
Sponsoring Units: DCMPChair: Zhenyu Zhang, Oak Ridge National Laboratory
Room: Morial Convention Center 221
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q29.00001: Quantized transport in graphene p-n junctions Invited Speaker: |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q29.00002: Local-Gating of Graphene Nanostructures James Williams, Charles Marcus We report on the fabrication and measurement of locally-gated single-layer graphene devices. Utilizing a non-covalent functionalization layer, the preservation of the unique electrical properties of graphene after deposition of the top-gate oxide is demonstrated. Novel top-gate geometries, including circular and multiple-rectangular gate designs, combined with oxygen-plasma etching allow for further elucidation of the unique transport properties of graphene p-n junctions and graphene constrictions. Research supported in part by INDEX, an NRI Center, and by the Harvard NSEC. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q29.00003: Scanning tunneling microscopy and spectroscopy of graphene. Guohong Li, Adina Luican, Eva Y. Andrei We report low temperature high magnetic field scanning tunneling microscopy and spectroscopy on a graphene sheet suspended above a graphite substrate by extended defects. The measurements provide the first observation of the V-shaped density of states in zero field and of the Landau level (LL) spectrum in finite fields. The LL spectrum consists of a single sequence exhibiting square root dependence on field and level-index, and contains a zero energy LL attesting to the chiral nature of the Dirac Fermion quasiparticles. The density of states reflects important effects due to electron-phonon interactions and to confinement. These include a reduced Fermi velocity, a small (10 meV) gap at the Dirac point, splitting of the n=0 LL at relatively low fields and a new negative energy state that emerges from the Fermi level and splits away linearly with increasing field. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q29.00004: Electronic properties of one-dimensional graphene bilayer ribbons Bhagawan Sahu, Hongki Min, Allan MacDonald, Sanjay Banerjee The electronic properties of armchair and zigzag bilayer graphene nanoribbons are studied using \textit{ab-initio} density functional theory. We study the effect of width and the electric fields (upto the dielectric breakdown field of SiO2) on their energy gaps [Sahu 2007]. We find metallic and semiconductor arm-chair ribbons and electric field has the effect of increasing the gap in metallic ribbons. The zigzag ribbons due to the edge magnetism show opposite behavior: gap decreases with increase in the applied electric field. We studied small ribbons (below 1 nm) as well as large ribbons (5 nm). In small width arm-chair semiconductor ribbons, the gap decreases whereas in the large width ribbons, the gap increases with applied electric field. Sahu B, Min H, MacDonald AH, and Banerjee SK ``Electronic properties of one-dimensional graphene bilayer ribbons'' (Submitted to Physical Review B). [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q29.00005: Strong Coulomb interactions and weak disorder in graphene Matthew Foster, Igor Aleiner We analyze the instabilities and compute the transport properties of the low-temperature conducting phase of graphene, using a model that incorporates both Coulomb electron-electron interaction and weak quenched disorder effects. Strong Coulomb interactions are treated within the large-N expansion. Using a perturbative renormalization group (RG) approach to study the effects of virtual processes, we find that at successively lower energy scales, for moderate to strong Coulomb interaction strengths, a type of non-Abelian vector potential disorder always asserts itself as the dominant \emph{elastic} scattering mechanism for generic short-ranged microscopic defect distributions. Vector potential disorder appears in graphene due to, e.g., elastic lattice deformations (``ripples''). We combine the RG results with a quantum kinetic equation analysis of real processes, i.e.\ inelastic electron-electron scattering, which allows us to compute the temperature- and chemical potential-dependence of electric and thermal transport coefficients due to elastic and inelastic scattering processes in various coupling regimes. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q29.00006: Electric Field Effect in Epitaxial Graphene Devices Xuebin Li, Xiaosong Wu, Michael Sprinkle, Fan Ming, Claire Berger, Raghunath Murali, Farhana Zaman, James Meindl, Walter de Heer The electric field effect has been observed on epitaxial graphene multilayers grown on SiC substrates by thermal decomposition of SiC. Carriers mobilities up to 2.5$\times $10$^{4}$cm$^{2}$/Vs have been measured. Both side-gated and top-gated graphene field effect transistors (FETs) have been fabricated using standard semiconductor processes on both the Si and the C face of the SiC substrates. In side-gated FETs, the gates are located on both sides of narrow graphene ribbons; source-drain resistances decrease by several percent with a gate bias of several volts. For top-gated FETs the resistance swing reaches a factor of 25. At the gate voltage corresponding to the maximum source-drain resistance, the Hall voltage changes sign indicating a transition from hole- to electron- carried transport, consistent with the graphene band structure. These results indicate the potential of epitaxial graphene as a platform for large-scale graphene based electronics. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q29.00007: Free-Standing 2-D Graphene Carbon Nanostructures Brian Holloway, Ronald Quinlan, Kun Hou Carbon nanosheets -- a new, free-standing, two-dimensional carbon nanostructure -- have been deposited on a metal, semiconductor, and insulating substrates by RF PECVD. Raman, SEM, TEM, SAED, XPS, AES, FTIR, and XRD all indicate that nanosheets are graphite sheets up to 8 $\mu $m in height but $\le $1 nm in edge thickness. The nanosheets stand off the growth substrate in a manner similar to aligned nanotubes grown by CVD. In contrast to nanotubes, nanosheets do not require catalyst for growth and can be patterned after deposition using standard lithographic techniques. Hydrogen etching promotes the formation of the atomically thin structures while the anisotropic dipole created in the graphene planes by the plasma sheath promotes the vertical orientation. Due to their uniform height and the large number of edge emission sites, nanosheets have proven to be excellent field emitters. Nanosheet samples have produced up to 33 mA of current (32 mm$^{2}$ sample area); similar nanosheet samples have sustained 1.3 mA of current over 200 hours of testing with no degradation. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q29.00008: Superconducting Proximity Effect in Graphite Films Masahiko Hayashi, Hideo Yoshioka, Akinobu Kanda Theoretical analysis of superconducting current in graphite films (or graphen)in proximity to superconductors is presented. In this work, the band structure of the graphite film is treated seriously: because of the delicate band structure of graphite, the actual band structure of the film, which undergoes the effects of various external factors such as leads and gates, can show a wide variety. We introduce following three models: 1) graphen-like Fermi points, 2) semi-metal, 3) electron (or hole) pockets, and 4) semiconducting gap. The superconducting critical current I{\_}c = exp {\{}- L/xi(T){\}} is studied where L is the distance between two leads and xi(T) is the coherence length in the graphite film. The temperature dependence of xi(T) is largely affected by the band structure and by examining this dependence the electronic properties of the graphite film can be estimated. The results are compared with actual experiments. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q29.00009: Superconducting proximity effect in thin graphite films A. Kanda, T. Sato, S. Tanaka, H. Goto, Y. Ootuka, K. Tsukagoshi, H. Miyazaki, S. Odaka, Y. Aoyagi Gate-controlled superconducting proximity effect in thin graphite films is reported. A graphite film with thickness of 4 - 10 nm is connected to two aluminum superconducting electrodes, forming a SNS junction, and gate electric field is applied using a back gate. The critical supercurrent displays an ambipolar behavior, and for a fixed normal-state resistance the electron critical supercurrent with positive gate voltage is always larger than the hole critical supercurrent with negative gate voltage (electron-hole symmetry breaking). This effect is also observed in the critical temperature where the junction resistance vanishes. Furthermore, the critical supercurrent is proportional to $\exp(-(T/T_0)^2)$, which has never been observed in other SNS systems. The details of the experimental results as well as their possible origins will be discussed. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q29.00010: Supercurrent in Graphene Josephson Transistors Wenzhong Bao, Feng Miao, Gang Liu, Chunning Lau We investigate electrical transport in single or bi-layer graphene devices coupled to superconducting electrodes. In these two-dimensional Josephson junctions, we observed gate tunable supercurrent, multiple Andreev reflections and hysteretic current-voltage characteristics. Latest experimental progress on dependence of supercurrent on temperature, number of layers and source-drain separation will be discussed. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q29.00011: Conductance behaviors of point-contact graphite junctions with normal metal and superconducting tips W. K. Park, S. Wolin, C. Chialvo, N. Mason, L. H. Greene The recent discovery of graphene, a truly two-dimensional carbon allotrope, has attracted great interest because of its novel physics and potential for new electronic device applications. Among a variety of theoretical predictions that await stringent experimental tests, reflectionless tunneling (Klein paradox) and specular Andreev reflection are most intriguing. Aiming at eventually probing such unique charge transport phenomena in graphene junctions, we first investigate conductance behaviors of the nanoscale graphite junctions made by point-contact techniques using simple metal (Au) and superconducting (Nb) tips. At low temperatures, the conductance data exhibit an inverse peak structure centered at zero bias, reminiscent of the theoretical density of states arising from the Dirac-like dispersion relation. Junctions with Nb show the additional superconducting gap feature. We will present sets of conductance spectra as a function of temperature, magnetic field, and gate voltage, and discuss possible mechanisms to explain the observed conductance behaviors. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q29.00012: Josephson Current and Multiple Andreev Reflections in Graphene SNS Junctions Ivan Skachko, Xu Du, Eva Y. Andrei The Josephson Effect and Superconducting Proximity Effect were observed in Superconductor-Graphene-Superconductor (SGS) Josephson junctions with coherence lengths comparable to the distance between the superconducting leads. By comparing the measured temperature and gate dependence of the supercurrent and the proximity induced sub-gap features (multiple Andreev reflections) to theoretical predictions, we find that the diffusive junction model yields close quantitative agreement with the results. This is consistent with the fact that the measured mean free paths in these junctions, 10 $\sim $ 30 nm, are significantly shorter than the lead separation. We show that all SGS devices reported so far fall in the diffusive junction category. [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q29.00013: Electric field effect modulation and hysteresis in thin graphite using ferroelectric gate oxides X. Hong, K. Zou, J. Zhu, A. Posadas, J. Reiner, C. H. Ahn We study the electronic properties of thin graphite field effect transistors (FETs) using ferroelectric gate oxide Pb (Zr,Ti)O$_3$ (PZT). Thin graphite flakes (3-5nm) are exfoliated onto 300 nm PZT films epitaxially grown on doped SrTiO$_3$ (STO) and fabricated into FET devices. Carriers are induced into the FETs by applying a voltage V$_g$ on the STO substrate (backgate). We observe a maximum carrier density ($n$) of $\sim$4x10$^{13}$cm$^{-2}$ and a density modulation of $\sim$2x$^{12}$cm$^{-2}$/V$_g$(V), and extract a high dielectric constant $\sim$100 of PZT. We also explore the potential of non-volatile memory devices based on the large polarization of PZT ($\sim$40 $\mu$C/cm$^2$) and its field switching behavior. At 300 K, both the resistance and $n$ of the devices show pronounced hysteretic behavior as V$_g$ is swept beyond 3 V, with two distinct states. The unstable one decays exponentially with time, with a time constant of $\sim$6 hours at 300 K and a few days at 150 K, suggesting a thermally activated process. We discuss possible origins of the hysteresis, highlighting the importance of adsorbates at the interface of PZT and graphite. [Preview Abstract] |
Session Q30: Nanotubes and Nanowires I: Electronic and Transport Properties
Sponsoring Units: DMPChair: Michael Fuhrer, University of Maryland
Room: Morial Convention Center 222
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q30.00001: Correlations in carbon nanotubes: A DMRG approach Alexander Struck, Sebastian A. Reyes, Sebastian Eggert Single wall carbon nanotubes (SWCNT) are a paradigm for studying quasi-one-dimensional systems with strong correlations, both experimentally and theoretically. Considering the strong relevance of SWCNT, it is even more surprising that only few numerical calculations of correlation effects have been attempted. In this talk, we use the density-matrix renormalization group (DMRG) technique to treat a recently formulated one-dimensional tight-binding lattice model, which accounts for both the electron motions around the circumference and along the tube axis. We discuss the influence of interactions of variable strength and range on the electronic structure and the electron density and outline possible finite-size and boundary effects. [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q30.00002: First-Principles Electronic Structure Calculations of N$_{2}$H$_{4}$ Adsorbed on Single-Wall Carbon Nanotubes M. Yu, W.Q. Tian, C.S. Jayanthi, S.Y. Wu Recent experiments conducted by Desai \textit{et al.} [1] reveal that single-wall carbon nanotube (SWCNT) networks exposed to N$_{2}$H$_{4}$ vapor at various pressures exhibit considerable drop in resistance with respect to the pristine sample. Experimental findings reveal: (i) n-type behavior for the adsorption of N$_{2}$H$_{4}$/SWCNT, and (ii) the binding of N$_{2}$H$_{4}$ on SWCNT as chemisorption. In the present work, we have performed first-principles electronic structure calculations [2] for the N$_{2}$H$_{4}$ adsorbed on the (14, 0) SWCNT, where several orientations for the N$_{2}$H$_{4}$ molecule were considered. Calculations for the combined system were performed using 3 unit cells with the DFT/GGA and ultra soft pseudo-potentials. Our calculations reveal: (i) the binding of N$_{2}$H$_{4}$ on SWCNT as physisorption, and (ii) the electronic structure of SWCNT to be practically unaltered by the adsorption of N$_{2}$H$_{4}$, suggesting that there will not be a dramatic drop in resistance for N$_{2}$H$_{4}$/SWCNT. This is in disagreement with the experimental findings. To further understand the experimental observations, we will discuss mechanisms that may alter the binding nature of N$_{2}$H$_{4}$ on SWCNT. [1] S. Desai, G. Sumanasekera, et al. (APS, March 2008). [2] G. Kresse and J. Furthmuller, Phys. Rev. B \textbf{54}, 11169 (1996). [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q30.00003: First-principles calculations of effects of metallic electrode contacts on transport properties of carbon nanotubes Nobuhiko Kobayashi, Taisuke Ozaki, Kenji Hirose Recently, considerable effort has been devoted to developing carbon nanotube devices. One of the important issues in the developments of carbon nanotube devices is the control of contact effects of the electrodes. To detect electric signals through nanotubes, electrodes must be connected to the nanotubes. Contact with the electrodes sensitively influences their electronic structures and transport properties. Therefore, it is important to discuss the transport properties on the basis of the detailed electronic state calculations that include the effect of contact with the electrodes. We have investigated quantum transport in carbon nanotubes bridged between metallic electrodes. The electronic states are calculated using a numerical atomic orbital basis set in the framework of the density functional theory, and the conductance is calculated using the Green's function method. We have analyzed transport properties of the finite size of carbon nanotubes bridged between metallic electrodes, and discuss the contact effect of the electrodes on the transport properties. We reveal their dependency on the length and the electrode materials. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q30.00004: Effect of Phase-Breaking Events on Electron Transport in Single-Wall Nanotubes Thushari Jayasekera, Pavan Pillalamarri, J.W. Mintmire, Vincent Meunier Existing ballistic models for electron transport in single wall nanotube systems will break down as the size of the device becomes longer than the phase coherence length of electrons in the system. V. Krstic, \textit{et al.} observed experimentally that the current in a SWNT system can be regarded as a combination of a coherent part and a non-coherent part. We analyze this problem in detail following Buttiker's dephasing model. We investigate the effect of phase-breaking events on the electron transport in two-terminal single wall carbon nanotube systems, and discuss about more possible applications. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q30.00005: Local Gating in Carbon Nanotubes Joseph Sulpizio, Charis Quay, David Goldhaber-Gordon Single Wall Carbon Nanotubes (SWNTs) exhibit a host of remarkable physical properties. Their unique electronic structure suggests that SWNTs are ideal for studying the rich physics of one-dimensional (1D) quantum systems. Local gating enables the creation of tunable structures where such phenomena can be experimentally studied. We have fabricated locally-gated SWNT devices and have performed low-temperature electronic transport measurements. We present our recent data and discuss the results in the context of 1D mesoscopic systems. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q30.00006: Distinguishing the mechanisms of transistor-like switching in single-walled carbon nanotubes (SWCNTs) Steven Hunt, Brett Goldsmith, Philip G. Collins One of four mechanisms usually dominates the conductance switching of SWCNTs in field effect transistor geometries. In semiconducting SWCNTs, both the bandstructure and the Schottky barriers present at the SWCNT-electrode interface are sensitive to local electric fields. Disorder, too, leads to field sensitivity through SWCNT-SWCNT junctions and SWCNT defect sites. These four mechanisms can be distinguished by scanning gate microscopy, in which the local gating characteristics of interfaces, defects, and pristine sidewall can each be independently measured. We will demonstrate this separation and, in particular, focus on the gate sensitivity of sidewall defects produced by point functionalization. Scanning gate microscopy of SWCNTs before and after chemical attack allows us to visualize the electronic contributions of localized disorder and rank its contribution to three-terminal device characteristics. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q30.00007: Probing Vapor Phase Analytes with Single Walled Carbon Nanotube Biopolymer Hybrid Devices. Samuel Khamis, Michelle Chen, A.T. Charlie Johnson Covalent and non-covalent functionalization schemes have been investigated in order to tune the chemical affinity of Single Walled Carbon Nanotube (SWNT) Field Effect Transistors (FET's). Non- covalent means are preferable since they have minimal impact on the electronic structure of pristine nanotubes. We present work involving the non-covalent adsorption of both ss-DNA and ss-RNA strands to SWNT FET's in order to obtain a class of devices that respond electrically to the presence of gaseous odors. ~We present a database representing measurements of hundreds of such devices, involving ten different sequences of ss-DNA, two different sequences of ss-RNA, and five different chemical vapors. We show that these responses are determined by the sequence of the adsorbed species of biopolymer, and we explore the performance limits of these devices. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q30.00008: Selective Chemical Functionalization for the Fabrication of Single-Walled Carbon Nanotube Devices George Tulevski, Ali Afzali, Phaedon Avouris, James Hannon Single-Walled Carbon Nanotubes (SWCNTs) have attracted enormous interest due to their excellent electronic properties. The integration of SWCNTs into technologically relevant architectures is limited by the processing techniques available to address numerous integration challenges such as selective placement, doping and separation by electronic type. This talk will focus on using chemical methods to address the separation challenge by selectively functionalizing the metallic SWCNTs to fabricate field-affect transistors consisting of multiple SWCNTs. The process begins with a large-scale, low-loss purification of SWCNTs using a density step-gradient to allow for characterization of SWCNTs in large quantities. Once the material is purified, functionalized diazonium salts are used to selectively react with the metallic SWCNTs. Multiple SWCNT devices are then prepared and result in dramatically improved switching behavior. Methods to exploit the selective functionalization as a means of physically separating the material will also be discussed. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q30.00009: Electric Field Modulation of Thermoelectric Transport in Carbon Nanotubes and Graphene in the Quantum Transport Limit Yuri M. Zuev, Philip Kim Mesoscopic thermoelectric power (TEP) measurements of nanometer scaled graphitic systems such as single walled carbon nanotubes (SWNTs) and graphene are reported. Highly transparent electrical contact was made to SWNTs using Pd electrodes. TEP was measured in-situ using a microfabricated heater and thermometers. Electrical conduction and TEP were observed at low temperature where both quantities were modulated by the gate voltage. At low temperatures, coherent quantum electric transport was observed as the conductance displayed oscillatory Fabry-Perot type interference. Simultaneously measured TEP provided corresponding oscillatory features. Deviations of the low temperature TEP gate dependence from the semiclassical Mott relation allows us to gain insight into the quantum transport regime in this one dimensional conductor. We compare these results with TEP measurements of the two dimensional graphitic conductor, graphene. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q30.00010: Thermal Conductivity of Single-Wall and Multi-Wall Carbon Nanotubes Nihar Pradhan, Huanan Duan, Jianyu Liang, Germano Iannacchione One-dimensional materials, such as nanotubes, and their composites attract interest due to their potential use in applications as well as model systems for understanding low-dimensional physics. There is a need for detailed measurements of the specific heat ($c_p$) and thermal conductivity ($\kappa$) in order to guide theoretical efforts. This talk presents $c_p$ and $\kappa$ using an ac-calorimetric technique for single-wall (SW) and multi-wall (MW) carbon nanotubes (CNT) in a composite sample+cell arrangement. From $300$ to $400$~K, $c_p$ exhibits a linear behavior for both nanotubes. However, $\kappa$ for MWCNT and SWCNT with the heat flow perpendicular to the nanotube long-axis is bulk-like in behavior until $\approx 370$~K, thereafter decreasing with increasing temperature, indicating the onset of phonon-phonon scattering. For samples where the heat flow is parallel to the nanotube long-axis, $\kappa$ for the MWCNT sample is consistent with ballistic phonon transport. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q30.00011: Efficient excitation energy transfer in single-walled carbon nanotube/porphyrin complexes John P. Casey, Sergei M. Bachilo, R. Bruce Weisman A novel method for generating single-walled carbon nanotube (SWNT) excited states by energy transfer from porphyrin molecules is presented. Addition of SWNTs to a series of micelle suspended porphyrins results in efficient quenching of porphyrin fluorescence. Analysis of concentration-dependent porphyrin quenching reveals that intermolecular energy transfer is associated with complex formation. Two-dimensional excitation/emission spectroscopy demonstrates that photoexcitation of porphyrin absorption bands results in characteristic near-IR SWNT photoluminescence. The porphyrin/SWNT hybrid displays significantly shifted absorption and emission transitions as a result of strong electronic coupling between these two pi-conjugated systems. These interactions allow controllable tuning of SWNT transition energies. Complexation of SWNTs with organic photosensitizing molecules provides uniform excitation of a wide range of nanotube species in polydisperse samples using a convenient single excitation wavelength. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q30.00012: Heat Treatments In Situ and Noise Reduction in Metallic Single-Walled Carbon Nanotubes (SWCNTs) Alexander Kane, Philip Collins As fabricated, small diameter metallic SWCNTs have anomalously high contact resistances and noise amplitudes. High temperature treatments have been found to decrease both the resistance and noise through undetermined mechanisms. This work investigates this high temperature processing through in situ measurements in a UHV environment, focusing on small metallic SWCNTs contacted by Ti, Pt, or Pd electrodes. The role of the contact resistance and contaminants in the device fluctuations or noise is studied. The two mechanisms affect device noise differently, with the net effect that room temperature noise decreases can be more than proportional to resistance decreases. Annealing temperatures for improving device performance are determined for all three contact metals. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q30.00013: Dependence of the Raman G' band intensity on metallicity of single-wall carbon nanotubes Ki Kang Kim, Jin Sung Park, Sung Jin Kim, Hong Zhang Geng, Kay Hyeok An, Cheol-Min Yang, Kentaro Sato, Riichiro Saito, Young Hee Lee We report the peculiar behavior of the G'-band Raman intensity, which is dependent on the metallicity of single-wall carbon nanotubes (SWCNTs). In the metallic SWCNTs, the G'-band intensity was enhanced relative to the G-band intensity, while the G'-band intensity was suppressed in the semiconducting SWCNTs. Resonance Raman spectroscopy (using laser energies of E$_{laser }$= 2.41 eV, 1.96 eV, 1.58 eV, and 1.165 eV) showed these features on the metal-enriched and semiconducting-enriched SWCNTs samples that had been selectively separated by the nitronium ions. The metallicity dependence was explained theoretically by calculating the resonance Raman intensity within the extended tight-binding calculations. The calculated results confirm that the G'-band intensity of the metallic SWCNTs is stronger than that for the semiconducting SWCNTs because the electron-phonon matrix elements for the TO phonon at the K point is larger for metallic SWCNTs and the resonance window for E$_{33}^{S}$ is larger than that for E$_{11}^{M}$. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q30.00014: Stability of finite single-walled carbon nanotubes adsorbed on Si(001) Walter Orellana The stability and bonding properties of capped single-walled carbon nanotubes (CNTs) adsorbed on the Si(001) surface are studied using ab initio methods. Supercell calculations of finite CNTs on surface avoid the commensurability condition that an infinite CNT-surface system requires, providing a realistic description of bond formations. We consider capped armchair (5,5) and zigzag (9,0) CNTs with lengths of about 24~\AA, adsorbed parallel and perpendicular to the Si-dimer direction of Si(001). At the equilibrium geometry we observe the formation of C-Si covalent bonds throughout the CNT-surface interface with binding energies ranging from 0.4 to 0.6~eV per C-Si bond. These bonds only form when nearest-neighbor C and Si atoms are closer than 2.15~\AA. Our results show a preferential direction for the CNT adsorption along the Si dimers, where the zigzag CNT is find to be the most stable. We also find that the stability of the adsorbed CNTs depends to a major extend on the C-Si bond length instead of the numbers of bonds throughout the nanotube-surface interface. [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q30.00015: Brownian Dynamics Simulations of Single-Wall Carbon Nanotube (SWNT) Separation by Type using Dielectrophoresis Manuel J. Mendes, Noe Alvarez, Howard Schmidt, Matteo Pasquali We theoretically investigate the separation of individualized metallic and semiconducting SWNTs in a dielectrophoretic (DEP) flow device. The SWNTs motion is simulated by a Brownian Dynamics (BD) algorithm including the translational and rotational effects of hydrodynamic, Brownian, dielectrophoretic, and electrophoretic forces. The device geometry is chosen to be a coaxial cylinder, because it yields effective flow throughput, and all fields can be described analytically. We construct a flow-DEP phase map, showing different regimes depending on the relative magnitudes of the forces in play. The BD code is combined with an optimization algorithm that searches for the conditions which maximize the separation performance. The optimization results show that a 99{\%} performance can be achieved with typical SWNT parameters by operating in a region of the phase map where metallic SWNTs orient with the electric field, whereas the semiconducting SWNTs flow align. We show spectroscopic measurements of experimental tests which demonstrate metallic vs. semiconductor separation at frequencies in the MHz range. These results reveal crucial knowledge on the influence of the surfactant on the SWNTs effective conductivity. [Preview Abstract] |
Session Q31: Computational Nanoscience: Nanotubes and Graphene
Sponsoring Units: DMP DCOMPChair: David Prendergast, Molecular Foundary, Lawrence Berkeley National Laboratory
Room: Morial Convention Center 223
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q31.00001: Possible Precursors for Boron Nanotubes: A Novel Bonding Picture in Boron Sheets and Nanotubes Hui Tang, Sohrab Ismail-Beigi Boron nanotubes (BNTs) have attracted a great deal of attention due to their unique properties: unlike carbon nanotubes (CNTs), all BNTs are predicted to be metallic regardless of chirality or radii. Based on density functional theory, we present a class of boron sheets, composed of mixtures of triangular and hexagonal motifs, that are more stable than any sheet-structures considered to date and thus are more likely to be the precursors of atomically thin BNTs [1]. We describe a picture of the nature of the bonding in these sheets which clarifies their stability. We further point out that our bonding picture, which focuses on the balance of two-center and three-center bonding, is crucial for the stability of other boron nanostructures. We also discuss BNTs made from our new boron sheets. \newline [1] H. Tang and S. Ismail-Beigi, Phys. Rev. Lett. 99, 115501 (2007). [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q31.00002: Boron Nanotubes: Characterization Through Theory Abhishek Singh, Arta Sadrzadeh, Boris Yakobson Boron nanotubes have been believed to be metallic irrespective of diameter and chirality, as apposed to carbon nanotubes (CNTs), which could be both metallic and semiconducting. However, the separation of metallic and semiconducting CNTs is still a challenging task, which eventually, plagues their applications. Using first principle calculations, we investigate mechanical and electronic properties of the BNTs and show that BNTs could also be semiconducting. We discus the origin of semiconductivity in BNTs. Prospect of having only metallic BNTs is a great advantage over CNTs, however, having semiconducting BNTs could make them applicable in electronics, sensing and optoelectronics. [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q31.00003: On the Electronic and Geometric Structures of Armchair GeC Nanotubes: A Hybrid Density Functional Study Somilkumar Rathi, Asok Ray \textit{Ab initio} calculations within the framework of hybrid density functional theory and finite cluster approximation have been performed for the electronic and geometric structures of three different types of armchair germanium carbide nanotubes from (3, 3) to (11, 11). Full geometry and spin optimizations with unrestricted symmetry have been performed. A detailed comparison of the structures and stabilities of the three types of nanotubes will be presented. The dependence of the electronic band gaps on the respective tube diameters, energy density of states, dipole moments as well as Mulliken charge distributions have been investigated. Radial buckling of tube along with bond length variations is also studied. All armchair GeC nanotubes investigated so far are semiconducting in nature. Applications in the field of nano-optoelectronic devices, molecular electronics and band gap engineering are envisioned for GeC nanotubes. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q31.00004: Water in nanoscale confinement: Insights into structure, dynamics, and $^{1}$H-NMR chemical shifts from first-principles theory Patrick Huang, Eric Schwegler, Giulia Galli The properties of water confined to nanoscale dimensions can differ markedly from bulk water. Numerous studies of confined water focus on water in carbon nanotubes (CNTs), because CNTs provide a uniform environment with a well-defined geometry and chemical composition. However, the behavior of water in CNTs remains controversial. Here, we apply first-principles density functional theory (DFT) to study the structure and dynamics of water in CNTs, and relate our microscopic picture to experimentally-accessible observables. One such observable is $^{1}$H-NMR, a sensitive probe of atomic-scale structure and dynamics. While empirical procedures to relate chemical shifts to structure are known for organic molecules, analysis of NMR spectra of solids and liquids requires more sophisticated approaches. We evaluate chemical shifts of water in CNTs within periodic DFT, and relate our findings to experimental $^{1}$H-NMR measurements. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q31.00005: First-Principles Studies of Metal-Graphene and Metal-Nanotube Heterostructures Alejandro Lugo-Solis, Igor Vasiliev Metal-nanotube heterostructures have attracted considerable interest due to their potential applications in catalysis, fuel cell technology, and hydrogen storage. We investigate the optical properties of alkali metal atoms and clusters adsorbed on graphene and single-walled carbon nanotubes. The geometries, binding energies, and optical absorption spectra of the modeled structures are calculated in the framework of {\it ab initio} density-functional and time-dependent density-functional methods combined with the local-density approximation for the exchange-correlation functional. Our calculations show significant differences between the structures and absorption spectra of isolated alkali metal clusters and those adsorbed on graphene and carbon nanotubes. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q31.00006: Linear plasmon dispersion in graphene and single-wall carbon nanotubes and the influence of interlayer interactions R. Hambach, C. Giorgetti, F. Sottile, L. Reining, C. Kramberger, M.H. R{\"u}mmeli, M. Knupfer, J. Fink, B. B{\"u}chner, T. Pichler, E. Einarsson, S. Maruyama, K. Hannewald, V. Olevano, A.G. Marinopoulos Using first principles calculations [1], we studied momentum resolved electron energy loss spectra (EELS) for isolated graphene in RPA. In particular, we investigated the influence of interlayer interactions on the plasmon dispersion and the importance of local field effects (or depolarization effects). The latter cause a mixing of electronic transitions resulting in a nearly linear dispersion of the $\pi$-plasmon in graphene for in-plane momentum transfer. Corresponding EELS measurements on isolated, vertically aligned single-wall carbon nanotubes (SWCNT) show a very similar dispersion relation along the tube axis. This validates the use of graphene to understand electronic excitations of carbon nanotubes and vice versa. [1] www.dp-code.org [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q31.00007: Tuning Field-Induced Energy Gap of Bilayer Graphene via Interlayer Spacing Yufeng Guo, Wanlin Guo, Changfeng Chen Using first-principles calculations, we demonstrate a sensitive dependence of the electric-field-induced energy gap of bilayer graphene on its interlayer spacing. The calculated results reveal surprisingly large ($\pm$50\%) changes in the energy gap by relatively small ($\pm$10\%) adjustments in the interlayer spacing near the equilibrium structure when the electric field is sufficiently high (above 3 V/nm). We elucidate the underlying mechanism by examining the response of the interlayer charge distribution to the interlayer spacing variation at different electric fields. The present results suggest an effective way for reversible tuning of the field- induced energy gap of bilayer-graphene-based nanoelectronic devices through nanomechanical control. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q31.00008: Role of Surface Defects in the Carboxylation of Carbon Nanotubes: An \textit{Ab Initio} Study Nabil Al Aqtash, Igor Vasiliev We investigate the mechanism of covalent sidewall functionalization of carbon nanotubes with carboxyl groups using first principles computational methods. The binding energies and equilibrium geometries of carboxylated nanotubes with no surface defects, Stone-Wales defects and vacancies are calculated in the framework of density functional theory combined with the generalized gradient approximation. Our calculations show that the binding of carboxyl groups with carbon nanotubes containing surface defects is stronger than that with defect-free nanotubes. Furthermore, the presence of carboxyl groups on the surface leads to a considerable change of the electronic and structural properties of defective nanotubes. Our results suggest that surface defects play an important role in the formation of chemical bonds between chemical groups and carbon nanotubes. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q31.00009: An ab initio description of cleaning of SWNT with UV light Abram Van Der Geest, Kathrine Hurst, Mark T. Lusk The photodesorption of molecules and its application to the cleaning of single walled carbon nanotubes (SWNTs) has been experimentally demonstrated using a 248 nm laser. The excitation of the carbon nanotube $\pi$-plasmon is thought to couple to vibrational modes of the molecule-nanotube bond. An \it{ab initio}\normalfont \ inquiry seeks to validate this hypothesis and optimize the process of nanotube cleaning. The response of SWNTs to an electric field, a description of the enhancement of surface plasmons, and the role of hot electrons are discussed. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q31.00010: Modeling and simulation of adhesion between carbon nanotubes and surfaces Alper Buldum, Naba Raj Paudel, Toshiyuki Ohashi, Liming Dai There have been also many experimental studies which were performed to compare the adhesion properties of carbon nanotubes with that of a gecko's foot on smooth surfaces. Yurdumakan et al. measured the adhesive force of multiwalled carbon nanotube hairs and found it to be 200 times higher than that observed for gecko foot-hairs.Here, we present theoretical investigations of CNTs interacting with surfaces. We study the deformation of CNTs and evaluate their adhesion similar to the experimental investigation of a gecko's foot. To study the deformation behavior and adhesion of CNTs, atomistic simulations of capped armchair (10, 10) nanotubes with two different lengths are performed on rigid and relaxed graphite surfaces.Simulations were also performed for different orientations of the nanotube with respect to the graphite surface to study the angular dependence of adhesion and deformation. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q31.00011: Monte Carlo simulations of the effect of nanotube length distribution on the percolation resistivity in single-walled carbon nanotube films Jeremy Hicks, Ashkan Behnam, Ant Ural Employing Monte Carlo simulations, we generate and calculate the resistivity of multilayer films made up of single-walled carbon nanotubes with various nanotube length distributions. Each layer in the film acts as a charge-percolating 2D mesh with contacts to adjacent layers. First, we study the case when the tube-tube contact resistance dominates the resistivity. For randomly oriented nanotubes, we find that, the resistivity of the film, as well as its overall percolation probability correlate strongly with the root mean square (RMS) length of the nanotubes near the percolation threshold regardless of distribution. As the nanotubes in the film become increasingly aligned, the resistivity correlation shifts to higher order in length. On the other hand, if the nanotube resistance dominates the resistivity, the resistivity of the film correlates strongly with the average nanotube length. These results, which can be explained by physical and geometrical arguments, show how individual nanotube parameters contribute to the macroscopic characteristics of the film. They also show that computational studies are an essential tool for providing insight into the percolation transport in single-walled carbon nanotube films. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q31.00012: Mechanism for Superelongation of Carbon Nanotubes at High Temperature Chun Tang, Wanlin Guo, Changfeng Chen Recent discovery of superelongation of carbon nanotubes (CNTs) at high temperature raises fundamental questions about the deformation mechanism of these normally brittle materials. Here we report extensive molecular dynamics simulations that identify two key factors for this intriguing phenomenon: (1) activation of defects all over the tube at the elastic limit and continued emergence of additional defects at increasing strain that impede the formation of localized predominant instability and facilitate homogeneous deformation; (2) large-scale defect evolution that produces multistage necking and kink motion. Intricate interplay between CNT sizes and temperature activated defect nucleation and motion plays a key role in determining the overall deformation pattern. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q31.00013: Mesoscopic model for CNT-based materials Alexey Volkov, Kiril Simov, Leonid Zhigilei A mesoscopic computational model is developed for simulation of the collective mechanical and thermal behavior of carbon nanotubes (CNTs) in CNT-based materials. The model is based on a coarse-grained representation of CNTs as ``breathing flexible cylinders'' consisting of a variable number of segments. A novel effective ``tubular'' potential is developed for the description of van der Waals inter-tube interactions. It accounts for the relative local orientation of the interacting CNT segments. Frictional forces and energy dissipation, as well as heat conduction along and between CNTs, are incorporated into the mesoscopic model and parameterized with the help of results from atomistic simulations. The developed model is used in calculations of the mechanical and thermal properties of CNT meshes and mats. The systems under consideration contain thousands of CNTs, allowing for investigation of the effective properties of CNT-based materials. The computational results are related to available experimental data. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q31.00014: First-principles studies of the switching performance of [2]rotaxane molecules and monolayers Kinyip Phoa, J.B. Neaton, Vivek Subramanian Density functional theory calculations of [2]rotaxane, an organic molecule consisting of a linear (straight) backbone and an encircling ring, which was recently proposed as the basis of a molecular memory device$^{[1]}$, are presented. The energy landscape describing the shuttling of the ring along the backbone is calculated and carefully investigated. Furthermore, to estimate the potential RC delays associated with this molecular memory circuit, the long-wave dielectric response of [2]rotaxane monolayers is explored by applying an external field. Our calculations shed new light on the underlying working principle of this system and build on previous studies$^{[2]}$. \newline [1] Y. Luo, et. al., ChemPhysChem, 3, 519-525, 2002 \newline [2] Y. H. Jang, et. al., J. Phys. Chem. B 110, 7660-7665, 2006 [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q31.00015: Structure-property relations in electronic switches based on the rotaxane and catenane supramolecular family Yong-Hoon Kim Mechanically interlocked bistable supramolecular complexes are promising candidates of molecular electronics. Applying a multiscale computational approach combining force-fields molecular mechanics, density-functional theory, and matrix Green's function calculations, we study the structure-property correlations in nanoelectronic switches based on [2]rotaxane and [2]catenane supramolecules. Computational aspects that increase the efficiency of charge transport characteristics calculations while ensuring the numerical accuracy will be also discussed. (This work was supported by the Korea Research Foundation Grant KRF-2007-331-C00077) [Preview Abstract] |
Session Q32: Focus Session: Molecular Magnets II
Sponsoring Units: GMAG DMPChair: Andrew Kent, New York University
Room: Morial Convention Center 225
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q32.00001: Strongly Correlated Electrons in the $\mathbf{\left[Ni(hmp)(ROH)X\right]_4}$ Single Molecule Magnet: A DFT+U Study Chao Cao, Stephen Hill, Hai-Ping Cheng The single molecule magnet $\mathrm{\left[Ni(hmp)(MeOH)Cl\right]_4}$ is studied using both density functional theory and the DFT+U method, and the results are compared. By incorporating a Hubbard-U like term for both of the nickel and oxygen atoms, the experimental ground state is successfully recovered, and the exchange coupling constants derived from the DFT+U calculation fit the experimental results very well. The results show that the nickel 3d electrons and oxygen 2p electrons in this molecule are strongly correlated, and thus the inclusion of on-site Coulomb energies is crucial to obtain correct results. This work is supported by DOE DE-FG02-02ER45995 (H.-P. Cheng and C. Cao), NSF/DMR/ITR-0218957 (H.-P. Cheng and C. Cao), NSF DMR0239481 (S. Hill), and NSF DMR0506946 (S. Hill). The authors want to thank NERSC, CNMS/ORNL and the University of Florida High Performance Computing Center for providing computational resources and support that have contributed to the research results reported within this paper. [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q32.00002: Spin excitations in the molecule Mn$_{19}$ with a record ground-state spin $S$ = 83/2 B. Burger, O. Waldmann, A.M. Ako, A.K. Powell, H. Mutka, T. Unruh In the magnetic molecule Mn$_{19}$, 12 Mn(III) and 7 Mn(II) ions are ferromagnetically coupled such as to yield a $S$ = 83/2 ground state. We recorded Q-band EPR and inelastic neutron scattering (INS) spectra on powder samples of Mn$_{19}$. The EPR data is well interpreted by the model of an isolated $S$ = 83/2 spin with uniaxial magnetic anisotropy, $H$ = \textit{DS}$_{z}^{2}$ + \textit{g$\mu $}$_{B}$\textbf{S}$\cdot $\textbf{B}. We find $D$ = 0.004 cm$^{{\-}1}$, hence Mn$_{19}$ is not a single-molecule magnet. The INS spectra show a broad feature I at ca. 0.25 meV, which exhibits an uncommon temperature dependence, and two peaks II and III at ca. 3.0 and 5.7 meV. The analysis of the INS data is complicated by the huge Hilbert space of Mn$_{19}$ of 6.8 10$^{13}$ states. Peaks II and III are assigned to discrete ferromagnetic spin waves. Understanding feature I is more difficult because it consists of many transitions which combine such as to yield a complex temperature dependence. Hence, its behavior cannot be described in a single-spin picture, but requires an inherent many-body description. [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q32.00003: Looking for higher anisotropy barriers in single-molecule magnets Saiti Datta, Constantinos Milios, Euan Brechin, Stephen Hill We report single-crystal high-frequency electron paramagnetic resonance (HFEPR) studies of a series of recently discovered $\mbox{Mn}_{\mbox{6}}^{\mbox{III}} $ single-molecule magnets (SMMs) with large barriers to magnetization reversal. All of the complexes consist of $\mbox{Mn}_{\mbox{3}}^{\mbox{III}} $ triangles with a ferromagnetic interaction between them. Recent studies have shown that the exchange interactions within the triangular $\mbox{Mn}_{\mbox{3}}^{\mbox{III}} $ units can be switched from antiferromagnetic to ferromagnetic,$^{1}$ resulting in a switching of the spin from $S$ = 4 to 12 for many of the Mn$_{6}$ complexes. This strategy to ``increase $S$'' has resulted in the highest magnetic energy barrier and blocking temperature for any known SMM to date. Extensive frequency, temperature and field-orientation dependent HFEPR measurements were performed to determine the magnetic anisotropy parameters for each complex. These studies have contributed to important new insights concerning strategies for designing SMMs with high blocking temperatures, particularly for complexes containing manganese in its +3 oxidation state. $^{1}$ T. C. Stamatatos et al., J. Am. Chem. Soc. 129, 12505-12511, 2007. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q32.00004: Theory of tunneling spectroscopy in a $Mn_{12}$ single-electron transistor by DFT methods Lukasz Michalak, Carlo M. Canali, Mark R. Pederson, Vincenzo G. Benza We present a theory of single-electron tunneling transport through a $Mn_{12}$ molecular magnet in the Coulomb blockade regime. We employ spin density functional theory to calculate the low-energy spin multiplet states for neutral and charged (anion and cation) $Mn_{12}$, split by spin-orbit interaction. Tunneling matrix elements between these states are the basic ingredients of a master equation formalism that gives the tunneling conductance as a function of the bias and gate voltage. We compare the results of this formalism with the ones obtained using a phenomenological giant-spin Hamiltonian and highlight the importance of the orbital degree of freedom included in our SDFT approach. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q32.00005: First-principles study of a monolayer of single-molecule magnets Mn$_{12}$ on a gold surface Salvador Barraza-Lopez, Michael C. Avery, Kyungwha Park Over the past decade, single-molecule magnets have drawn considerable attention due to observed magnetic quantum tunneling and interference and a possibility of using them in devices. There have been significant experimental efforts to build and characterize thin films or monolayers of single-molecule magnets on surfaces or single-molecule magnets bridged between electrodes. In parallel, theoretical models have been proposed to understand the properties of single-molecule magnets coupled to a metal substrate. However, there do not exist atomic-scale simulations on this complex system. We simulate, within density-functional theory, prototype Mn$_{12}$ molecules adsorbed via a thiol group onto a gold surface. We investigate how strongly a Mn$_{12}$ molecule is coupled to the metal surface and how much charge and spin moments are transferred between a Mn$_{12}$ molecule monolayer and the metal surface. In particular, we compare the electronic and magnetic properties of the Mn$_{12}$ monolayer on a gold surface with those of an isolated Mn$_{12}$ in the presence of spin-orbit interaction. Our results may shed light into tailoring of the magnetic properties of nanomagnets as a result of electronic transfer from a proximal metallic surface. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q32.00006: Ignition of magnetic deflagration in Mn$_{12}$ acetate Sean McHugh, R. Jaafar, M.P. Sarachik, Y. Myasoedov, A. Finkler, H. Shtrikman, E. Zeldov, R. Bagai, G. Christou We study the conditions for the ignition of two types of magnetic avalanches in the molecular magnet Mn$_{12}$-acetate corresponding to the major species and a fast-relaxing minor species. The minor component, which has a lower anisotropy barrier, exists in these crystals at the level of $5-7$\%. The ignition temperatures are measured using small ($30 \times 30 \mu$m$^2$) Ge thermometers. In addition, the magnetization dynamics are measured using an array of Hall sensors of comparable size. Various aspects of the ignition will be discussed, including: the reduction of the ignition threshold due to quantum tunneling, the catalytic effect of the minor species, and the shift of the ignition point as a function of external magnetic field. The work at City College was supported by NSF grant DMR-00451605. E. Z. acknowledges the support of the Israel Ministry of Science, Culture and Sports. Support for G. C. was provided by NSF grant CHE-0414555. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q32.00007: Quantum Interference in the Longitudinal Oscillations of the Total Spin of a Dimeric Molecular Nanomagnet Christopher Ramsey, Enrique Del Barco, Stephen Hill, Sonali Shah, Christopher Beedle, David Hendrickson The synthetic flexibility of molecular magnets allows one to systematically produce samples with desirable properties such as those with entangled spin states for implementation in quantum logic gates. Here we report direct evidence of quantum oscillations of the \textit{total spin length} of a dimeric molecular nanomagnet through the observation of quantum interference associated with tunneling trajectories between states having different spin quantum numbers. As we outline, this is a consequence of the unique characteristics of a molecular Mn$_{12}$ wheel which behaves as a (weak) ferromagnetic exchange-coupled molecular dimer: each half of the molecule acts as a single-molecule magnet (SMM), while the weak coupling between the two halves gives rise to an additional internal spin degree of freedom within the molecule, namely that its total spin may fluctuate. This extra degree of freedom accounts for several magnetization tunneling resonances that cannot be explained within the usual giant spin approximation. More importantly, the observation of quantum interference provides unambiguous evidence for the quantum mechanical superposition involving entangled states of both halves of the wheel. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q32.00008: EPR Studies of Magnetically Dilute Ga-Doped Single Crystals of Fe$_{18}$ Antiferromagnetic Molecular Wheels John Henderson, Christopher Ramsey, Enrique Del Barco, Theocharis Stamatatos, George Christou Studies of the quantum dynamics of the electron spins in solid state systems has gained considerable interest recently due to their potential for use as quantum computing substrates. One class of materials, molecular magnets, are of particular importance, owing to the seemingly limitless array of spin configurations due to synthetic chemical flexibility. Efforts are currently devoted to minimizing decoherence times by diminishing dipolar effects. In this regard, we have carried out EPR measurements on small single crystals of 0.5{\%} Ga doped Fe18 molecular antiferromagnetic wheels at temperatures down to 300 mK using planar resonators patterned on GaAs wafers. This system constitutes a dilute sample of $S$ = 5/2 molecules dispersed within a sea of $S$ = 0 (at low temperature) molecules, which significantly reduces dipolar interactions and might provide a means of observing Rabi oscillations in crystals of molecular magnets. Detailed angular dependence studies reveal significant anisotropy with $D$ = 500 mK and $E$ = 20 mK. The presence of second order anisotropy (E) is very unusual for such a high symmetry system and its interpretation will be discussed. Pulsed-EPR measurements and doping concentration dependence will also be discussed. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q32.00009: Spin-Jahn-Teller effect in the antiferromagnetic molecular wheel CsFe8 O. Waldmann, L. Schnelzer, B. Pilawa, M. Horvatic In an antiferromagnetic (AF) molecular wheel magnetic metal ions are clamped together by organic ligands such as to form rings. Due to AF Heisenberg interactions in the wheel, the molecule's ground state at zero magnetic field is nonmagnetic with total spin $S$ = 0. The higher lying states belong to $S$ = 1, 2, ... In a magnetic field these states are Zeeman split, leading to a series of level-crossings (LCs) at characteristic fields at which the ground state changes from $S$ = 0, $M$ = 0 to $S$ = 1, $M$ = -1, and so on. Hence, via the field, the magnetic ground state of the molecule can be tuned through a degeneracy at the LC. Field-dependent measurements of the magnetic torque and $^{1}$H-NMR on CsFe8 single crystals were performed, which show clear indications of a phase transition at the LCs at low temperatures [PRL 96, 027206 (2006); PRL 99, 087201 (2007)]. These phase transitions are explained by a field-induced spin-Jahn-Teller effect (JTE) due to a magneto-elastic coupling between the spins of the wheel and the lattice: For fields close to a LC, a spontaneous structural distortion of the CsFe8 wheel occurs such as to lift the degeneracy in the magnetic energy spectrum, hence the spin-JTE. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q32.00010: Magneto-infrared investigations of [Mo$^{V}_{12}$O$_{30}$($\mu_2$-OH)$_{10}$ H$_2$\{Ni$^{II}$(H$_2$O)$_3$\}$_4$] J. Cao, J.L. Musfeldt, M. Pederson, R. Klemm, P. Kogerler We measured the magneto-infrared spectrum of [Mo$^{V}_{12}$O$_{30}$($\mu_2$-OH)$_{10}$H$_2$\-\{Ni$^{II}$(H$_2$O)$_3$\}$_4$] in order to test the suggestion that molecular structure(and thus interactions between spins) may be changing with applied magnetic field. Although this low-noise magneto-infrared work was done in a superconducting magnet (which limits the field range to only 18 T), these experiments do provide direct evidence for small field-induced local distortions of the lattice. The field-induced change in the localized H$_2$O wagging mode on the O attached to the Ni sites is particularly evident. This result is consistent with previous magneto-optics work indicating a small change in the Ni$^{2+}$ crystal field environment at 30 T. We also consider whether the magneto-infrared results and the consequent small implied changes in local structure with magnetic field are enough to account for the observed magnetization data, and we discuss complementary mechanisms based on more extended spin Hamiltonians that may also account for large changes in $J$ and $D$ in molecule-based magnets. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q32.00011: Dzyaloshinskii-Moriya interactions and multiferroic behavior in high-symmetry single molecule magnets Richard Klemm, Dmitri Efremov We study analytically the effects of the antisymmetric exchange, or Dzyaloshinskii-Moriya interactions in high-symmetry single molecule magnets with 2-4 magnetic ions per cluster. When the Moriya rules allow it, such as when an ionic bond does not contain a center of inversion, these interactions can lead to interesting observable effects, including the presence of an electric polarization driven by an applied magnetic field, and associated multiferroic behaviors. We will present our results for tetramers with the common S$_4$ molecular group symmetry, and for other ultrasmall single molecule magnets. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q32.00012: Spin echo experiments on dilute ensembles of single molecule magnets Gr\'egoire de Loubens, Andrew D. Kent, Vladimir Krymov, Gary J. Gerfen, Chris C. Beedle, David N. Hendrickson Single molecule magnets (SMMs) have been suggested as candidates for qubits in quantum processors. However, the coherence time ($T_2$) of high-spin molecules has not been determined. In SMM single crystals the strong dipolar interactions between molecules (separated by only 1~nm) is expected to drastically reduce the coherence time. In order to determine the coherence times in SMMs, we work with dilute ensembles of molecules. In particular, dilute frozen solutions of the SMM Ni$_4$ have been studied using a high frequency D-band (130~GHz) EPR setup [1]. Despite the random orientation of the molecules, well defined EPR absorption peaks are observed, due to the strong variation of the splittings between the different spin-states on magnetic field. Temperature dependent studies ($> 4$~K) and comparison with simulations enable identification of the spin transitions and determination of the Hamiltonian parameters, found to be close to those of Ni$_4$ single crystals. The absence of echo in pulsed experiments sets an upper bound of about 50~ns on the spin coherence time in Ni$_4$ at 130~GHz and T = 5.5~K. [1] G. de Loubens {\it et al.}, arXiv:0709.2146 [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q32.00013: Transition Metal Dimers and Physical Limits on Magnetic Anisotropy Tor Olof Strandberg, Carlo M. Canali, Allan H. MacDonald Recent advances in nanoscience have raised interest in the minimum bit size required for classical information storage, i.e. for bistability with suppressed quantum tunnelling and energy barriers that exceed ambient temperatures. In the case of magnetic information storage much attention has centred on molecular magnets with bits consisting of ~ 100 atoms, magnetic uniaxial anisotropy energy barriers ~ 50 K, and very slow relaxation at low temperatures. In our recent article (Nature Materials 6, 648 - 651 (2007)), we draw attention to the remarkable magnetic properties of some transition metal dimers which have energy barriers approaching ~ 500 K with only two atoms. The spin dynamics of these ultra small nanomagnets is strongly affected by a Berry phase which arises from quasi-degeneracies at the electronic Highest Occupied Molecular Orbital (HOMO) energy. In a giant spin-approximation, this Berry phase makes the effective reversal barrier thicker. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q32.00014: Magnetic anisotropies of late transition metal atomic clusters Jaime Ferrer, Lucas Fernandez-Seivane We analyze the impact of the magnetic anisotropy on the geometric structure and magnetic ordering of small atomic clusters of palladium, iridium, platinum and gold. We have employed a non-collinear implementation of Density Functional Theory where the spin-orbit interaction has been included self-consistently. The size of the clusters range from two to five, six or seven atoms, depending on the element. Our results highlight the relevance of the spin orbit interaction in the magnetic properties of small atomic clusters made of fourth- and fifth-row elements [1]. \newline [1] L. Fern\'andez-Seivane and J. Ferrer, Phys. Rev. Lett. {\bf 99}, 183401 (2007) [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q32.00015: Dirac Equation for Electrodynamic Model Particle J.X. Zheng-Johansson We set up the Maxwell's equations and subsequently the classical wave equations for the electromagnetic waves which together with their generating source, an oscillatory charge of zero rest mass, make up a particle travelling at velocity $v$ as with the charge in the fields of an external scalar and vector potentials. The direct solutions in constant external field are Doppler-displaced plane waves propagating at the velocity of light $c$; at the de Broglie wavelength scale and expressed in terms of the dynamically equivalent and appropriate geometric mean wave variables, these render as functons identical to the space-time functions of the Dirac spinor, and these are identical to the de Broglie phase waves given previously from explicit superposition. For two spin-half particles of a common set of space-time functions constrained with antisymmetric spin functions as follows the Pauli principle for same charges and as separately indirectly induced based on experiment for opposite charges, the complete wave functions are identical to a Dirac spinor. The back-substitution of the so explicitly determined complete wave functions in the corresponding classical wave equations of the two particles, subjected further to reductions appropriate for the stationary- state particle motion and to rotation invariance when in three dimensions, give a Dirac equation set; the procedure and conclusion are directly extendible to arbitrarily varying potentials by use of the Furious theorem and to three dimensions (full paper: QTS5). [Preview Abstract] |
Session Q33: Focus Session: Spin Polarization in Compound Semiconductors
Sponsoring Units: GMAG FIAP DMPChair: Stu Wolf, University of Virginia
Room: Morial Convention Center 224
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q33.00001: Boundaries Between Current Carrying Semiconductors and Metallic Contacts Invited Speaker: |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q33.00002: Current-Induced Spin Polarization in Gallium Nitride W. Koehl, C. Poblenz, M.H. Wong, U. Mishra, J. Speck, D.D. Awschalom Recent experimental studies have shown that when a current is passed through certain non-centrosymmetric semiconductors (GaAs, ZnSe), a net spin polarization may be generated throughout the material. However, the physical mechanism responsible for this phenomenon, known as current-induced spin polarization (CISP), is still poorly understood but known to exist at high temperatures in wide-bandgap semiconductors. [1] In order to further explore the degree to which CISP depends on the band structure and spin lifetimes of a material, we measure the phenomenon in GaN, a wide-bandgap, non-centrosymmetric semiconductor. A series of n-type GaN epilayers are grown in the wurtzite phase via molecular beam epitaxy at a variety of doping densities chosen to modulate the transverse spin lifetime, T$_{2}^{\ast }$, across its full available range. Using the Kerr effect, CISP is then characterized in these epilayers as a function of excitation energy over a range of temperatures. \newline \newline [1] N. P. Stern, S. Ghosh, G. Xiang, M. Zhu, N. Samarth, and D. D. Awschalom, \textit{Phys. Rev. Lett.} \textbf{97}, 126603 (2006). [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q33.00003: Spin polarization in quantum point contact structures Anh Ngo, Sergio Ulloa One of the important goals in the field of spintronics is to produce spin-polarized currents in semiconductors [1]. The Rashba spin-orbit interaction is useful in this regard, because its strength is controllable by applying an electric field. In this work we study ballistic transport through semiconductor quantum point contact systems under different confinement geometries and applied fields. In particular, we investigate how the lateral spin-orbit coupling, as induced by the lateral confinement potential, plays a non-trivial role on the spin polarization of the current, even in the absence of magnetic field. We find that high spin polarization can be obtained by controlling the asymmetric shape of the confinement potential, and contrast our results with previous work in the literature [2]. This behavior suggests a novel scheme to implement spin-filters without external magnetic fields, and we present its dependence on structural parameters. \newline [1] S. A. Wolf, et al., Spintronics: a spin based electronic vision of the future, Science 294, 1488-1495 (2001). \newline [2] M. Eto, et al., Spin polarization at semiconductor point contacts in absence of magnetic field, J. Phys. Soc. Jpn. 74, 1934 (2005). [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q33.00004: All-Electric Quantum Point Contact Spin Polarizer Philippe Debray, Saydur Rahman, Steven Herbert, Marc Cahay, Richard Newrock The conductance of InAs quantum point contacts (QPCs), created by two side gates on InAs/InGaAs quantum-well structures, was measured at low temperatures ($\le $ 4.2K) as a function of Fermi energy. By tuning the bias voltages of the gates, we were able to make appear or disappear on demand a conductance plateau at G $\cong $ 0.5 (2e$^{2}$/h). The presence of this plateau indicates complete spin polarization in the fundamental mode of transport. The 0.5 plateau appears when the transverse confining potentials of the QPC are tuned to be highly asymmetric. We believe the spin polarization responsible for the 0.5 plateau is induced by the lateral spin-orbit coupling, which originates from the transverse electric field of the confining potentials at the edges of the QPC. In a strong perpendicular magnetic field the magnetic confinement screens out the electrostatic confinement and the 0.5 plateau disappears. Our results show that it is possible to use an InAs QPC as a spin polarizer of both spin species through appropriate tuning of the bias voltages of its side gates. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q33.00005: Nanomechanical spin-polarizer Alexey Kovalev, Liviu Z\^arbo, Yaroslav Tserkovnyak, Gerrit Bauer, Jairo Sinova We study the effects of time dependent strain on transport properties in a long semiconductor rod and predict a piezo-spin effect. Torsional oscillations of a free-standing semiconductor beam are shown to cause spin-dependent oscillating with time potentials that spin-polarize an applied charge current in the presence of intentional or disorder scattering potentials. One can apply AC voltage synchronized with the mechanical motion to obtain a DC spin current. The thus created spin accumulation in the leads can be detected by e.g. ferromagnetic side contact or by the optical Kerr rotation. We propose to build the mechanical spin generators and manipulators based on the piezo-spin effect. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q33.00006: Generation and Decay of Spin Flip Waves in a CdMnTe Quantum Well P. Jacobs, R. Merlin, C. Aku-Leh, F. Perez, G. Karczewski Recently, two dimensional electron gases (2DEG) embedded in semimagnetic Cd$_{1-x}$Mn$_{x}$Te quantum wells have been introduced as a model for spin-polarized systems. The addition of a small amount of Mn induces a giant Zeeman splitting such that, under moderate magnetic fields, spin effects dominate over orbital quantization, providing the reverse situation to that of GaAs. Using Raman spectroscopy, both collective and single-particle spin excitations have been observed in CdMnTe [1]. We have used ultrafast pump-probe spectroscopy to study collective spin-flip excitations in modulation doped CdMnTe quantum wells. Oscillations due to the zone center spin-flip wave were generated by circularly-polarized 70fs pump pulses and detected by Kerr rotation of linearly-polarized probe pulses. The spin-flip lifetime has a strong dependence on the applied magnetic field and is also affected by weak heating due to laser absorption. The dependence of the lifetime on excitation energy and magnetic field indicate that the optically-excited heavy holes and their spin orientation play an important role in the decay of the collective mode. [1] F. Perez et al., Phys. Rev. Lett. \textbf{99}, 026403 (2007) [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q33.00007: Quantum Anomalous Hall Effect in HgMnTe Quantum Wells Chaoxing Liu, Xiaoliang Qi, Xi Dai, Zhong Fang, Shoucheng Zhang Quantum Hall effect is usually observed in the two-dimensional electron gas with an external magnetic field, where the electronic states form Landau levels. In this work, we show that a new phenomenon, the quantum anomalous Hall effect, can be realized in HgMnTe quantum wells, without the external magnetic field and associated Landau levels. This effect originates purely from the magnetization of Mn atoms, and is closely related to the quantum spin Hall effect observed in HgTe quantum wells recently. The opposite signs of sp-d exchange coupling between the Mn atoms and conduction or valence band electrons is crucial for realizing this effect. The quantized Hall conductance is predicted for a range of quantum well thickness and concentration of Mn atoms. Within the experimentally accessible parameter regime, we propose an experiment to demonstrate that the quantized Hall conductance indeed arises from the Mn magnetic moments rather than Landau levels. This effect enables dissipationless charge current in spintronics devices. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q33.00008: The Effect of Detector Bias on Non-Local Measurements of Spin Transport E.S. Garlid, T. Kondo, R. Mohr, K.S.M. Reddy, Q. Hu, P.A. Crowell, C.J. Palmstr{\O}m Previous studies of spin transport in Fe/GaAs heterostructures have observed a strong non-monotonic dependence of the spin polarization on the bias across the injector contact in a non-local measurement. We have studied the dependence of the non-local voltage signal in Fe/GaAs/Fe spin valves as a function of detector bias. Measurements were made on lateral devices fabricated from epitaxial Fe/n$^+$/n-GaAs heterostructures with channel dopings ranging from $2\times 10^{16}-6\times 10^{16}$~cm$^{-3}$. Measurements were performed by biasing the detector electrode with respect to a reference electrode and using lock-in techniques to measure the spin polarization generated from an AC biased injector electrode. The non-local voltage signal was found to have a very different dependence on detector bias than on injector bias. A sign change was observed at both forward and reverse detector bias, and the detector sensitivity was enhanced by up to a factor of five under large forward bias. This enhanced sensitivity may reflect the energy dependence of the Fe/GaAs interfacial density of states, although the connection between charge and spin transport in the semiconductor channel must also be considered. This work was supported by ONR and the NSF MRSEC, IGERT, and NNIN programs. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q33.00009: Growth and Characterization of VLS-type (Zn,Mn)Se nanowires Benjamin Cooley, Trevor Clark, Nitin Samarth Magnetically-doped semiconductor nanowires offer an interesting regime for exploring carrier-induced ferromagnetism in the presence of a 1D density of states [Dietl {\it et al}, Phys. Rev. B {\bf 55}, R3347 (1997)]. We discuss the growth and structural characterization of ZnSe nanowires doped with Mn. With diameters ranging from $\sim $30 nm down to $\sim$5 nm, these wires potentially provide 1D or quasi-1D systems in which to study collective magnetic behavior. The wires are grown via the vapor- liquid-solid mechanism on GaAs substrates using an ultrahigh vacuum molecular beam epitaxy system, with gold nanoparticles as the seeds for wire growth. The wires form in a dense random array, as shown by scanning electron microscopy. Transmission electron microscopy is used to study the structure and growth direction of individual wires released from the substrate, and the composition of individual wires is studied with energy dispersive x-ray spectroscopy. Supported by NSF MRSEC and ONR MURI. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q33.00010: Enhancement of In-Plane Magnetic Anisotropy Through Compensation in Ga$_{1-x}$Mn$_{x}$P:S P.R. Stone, O.D. Dubon, K.M. Yu, J.W. Beeman, C. Bihler, M.S. Brandt Ga$_{1-x}$Mn$_{x}$P is a ferromagnetic semiconductor (FS) in which exchange is mediated by localized holes [Scarpulla \textit{et al.}, Phys. Rev. Lett. \textbf{95,} 207204 (2005)]. As is the case for the prototypical FS Ga$_{1-x}$Mn$_{x}$As, there exists a uniaxial magnetic anisotropy between in-plane $<$110$>$-type directions with the magnetic easy axis lying near the in-plane [1-10] direction [Bihler \textit{et al.}, Phys. Rev. B \textbf{75,} 214419 (2007)]. Here we report the effect of compensation of Mn acceptors by sulfur donors on the in-plane uniaxial magnetic anisotropy in Ga$_{1-x}$Mn$_{x}$P as measured by both ferromagnetic resonance (FMR) and SQUID magnetometry. Raising the S concentration increases the magnitude of the uniaxial magnetic anisotropy between in-plane $<$110$>$-type directions. While the [1-10] direction remains the easy axis in the plane of the film, ``wasp-waisted'' hysteresis loops develop in the [110] direction as the S concentration increases. The wasp-waisted loops are modeled whereby magnetization reversal occurs by a combination of coherent spin rotation and noncoherent spin switching. Finally, by comparing FMR and SQUID data we extract domain wall formation energies as a function of compensation. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q33.00011: Deposition and characterization of highly spin-polarized Co$_{1-x}$Fe$_{x}$S$_{2}$ thin films. Michael Manno, C. Leighton Many spintronic devices could benefit from the use of a highly spin polarized ferromagnet. We have recently demonstrated tunable spin polarization of --56 $< \quad P \quad <$ +85 {\%} by composition control of the Fermi level in bulk Co$_{1-x}$Fe$_{x}$S$_{2}$ [1]. Although this material offers great promise for fundamental studies of spintronic devices, integration in devices such as spin injectors requires deposition of thin films. We present here synthesis details, structural, electronic, and magnetic properties of polycrystalline Co$_{1-x}$Fe$_{x}$S$_{2}$ films successfully fabricated by \textit{ex-situ} sulfidation on Al$_{2}$O$_{3}$ (0001), SrTiO$_{3}$ (001), and GaAs (001). The synthesis involves exposure of sputter or MBE deposited Co and Co-Fe alloy films to a S atmosphere at various reaction temperatures. Significant reaction with S occurs only above 200 C, while at 350 C and above we observe single-phase CoS$_{2}$ XRD patterns, and S contents (from EDS) of 65-70 {\%}. The conversion process has been studied in detail using multiple probes. Optimal conditions produce films that are ferromagnetic with the bulk T$_{C}$, bulk magnetization, metallic transport, and the expected magnetotransport phenomena. [1] L. Wang et al., Phys. Rev. B. \textbf{73} 144402 (2006). [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q33.00012: Spin-filtering properties of ultra thin Eu chalcogenide films Martina Mueller, Marius Costache, Jagadeesh Moodera Promising materials for the generation of nearly fully polarized current are the magnetic semiconductors EuO and EuS when used as tunnel barriers. The spin-filter effect is due to exchange splitting of their conductance band below the ferromagnetic transition temperature, T$_{C}$. Combined with a structural and electrical compatibility with Si, Eu chalcogenides can be recognized as potential materials to study spin injection into semiconductors. In this work, special attention was drawn to the magnetic and transport properties of thin ($<$ 6nm) EuO and EuS films to explore the feasibility of their integration into spin-injection devices. We investigated the magnetic, structural and transport behavior of EuO (EuS) thin films with regard to thickness- and substrate-induced changes. The influence of reduced dimensionality on exchange splitting and spin filter efficiency was observed in transport experiments using EuO (EuS) as a tunnel barrier. ``The phenomena of spin filter tunneling'', J. S. Moodera, T. S. Santos and T. Nagahama, J. Phys.: Condens. Matter \textbf{18 }(2007) 1--24 -- A review [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q33.00013: Resonant spin dipole induced by an in-plane potential gradient spin-orbit interaction C. S. Chu, K. Y. Chen, A. G. Mal'shukov Spin-orbit interaction (SOI) arising from in-plane potential gradient is invoked for the generation of spin accumulation in a driven electric field. The SOI and a local in-plane potential pattern together bring about resonant spin dependent scatterings to electrons in a nonequilibrium distribution. In the vicinity of a ring- shaped potential barrier pattern, a spin dipole distribution with a resonant dipole strength characteristic is obtained. As the chemical potential $\mu $is increased across one such resonant energy, the dipole strength manifests both reversal and large amplitude enhancement. The scattering resonance, thus, provides an additional knob for the manipulation of the spin accumulation. [Preview Abstract] |
Session Q35: Focus Session: Emerging Materials and Devices III
Sponsoring Units: FIAP DMPChair: Jeff Welser, IBM Almaden
Room: Morial Convention Center 227
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q35.00001: Advanced SOI CMOS transistor technology for high performance microprocessors Invited Speaker: An overview of state of the art Silicon on Insulator CMOS transistors used for 65nm and 45nm volume manufacturing of microprocessors will be given. AMD's unique technology and transistor progression model as well as the key challenges to increase the power efficiency of microprocessor products will be described. For advanced SOI transistors stress engineering has become a standard feature since the 90nm technology node due to gate oxide scaling limitations [1]. Especially techniques which induce local strain such as compressive and tensile stressed over-layer films, embedded-SiGe, and stress memorization, are keys to enhance transistor and product performance. With optimization, the different stressors are highly compatible and additive to each other, improving PMOS and NMOS saturation drive currents by ca. 50{\%} and 30{\%}, respectively [2]. In addition to reducing the lateral and vertical device dimensions advanced (Laser or Flash) annealing has been applied [3]. These anneal processes yield an improved dopant activation for active and gate regions resulting in lower source-drain resistance and gate depletion without any additional diffusion. To achieve a ``high performance per watt'', technology and design optimization is required. Technology elements like SOI, stressors, multiple gate oxides needed hand-in-hand development with multiple core designs and power efficient microprocessor architectures. These techniques have been applied and optimized for 65nm and 45nm manufacturing. Future technology options, like strained silicon directly bonded on SOI, Si:C embedded SD and High K gate oxide will be discussed. \newline [1] M. Horstmann, et al., IEDM 2005, p. 243 \newline [2] A. Wei et al., VLSI 2007 \newline [3] Th.Feudel et al., RTP Conference, Kyoto, 2006 [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q35.00002: Elastically strained silicon/silicon dioxide nano-layers Leonid Tsybeskov, Andrei Sirenko, David Lockwood, John McCaffrey Traditional fabrication of strained Si nanostructures (nano-layers, nano-tubes, nano-belts and nano-membranes) involves lattice mismatched Si/SiGe heteroepitaxy. In this paper, we demonstrate that elastically-strained, high aspect ratio Si nano-layers can be fabricated using a modified procedure of a-Si/SiO2 deposition followed by thermal annealing. We find that the mismatch between Si and SiO2 thermal expansion coefficients prevents the thermal crystallization of amorphous Si near Si/SiO2 interfaces and that this phenomenon can be used to direct crystallization of nanometer-thick Si layers. These more than micron in lateral dimension Si nano-layers with thickness of $\sim $ 10 nm exhibit a very low density of structural defects and remain elastically strained with respect to the Si substrate. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q35.00003: Electronic Structure of Conduction Bands in Strained Si Nanomembranes C. Euaruksakul, Z. Li, C.S. Ritz, B. Tanto, D.M. Cottrill, M.-H. Huang, F. Chen, D.E. Savage, F. Liu, F.J. Himpsel, M.G. Lagally We observe energy shifts of several conduction bands and a splitting of the conduction band minimum in elastically strained Si(001) and Si(110) Si nanomembranes (NMs) using X-ray absorption spectroscopy from the Si 2p core level. The surface sensitivity of absorption spectroscopy with electron yield detection makes the method suitable for studying very thin strained layers. Elastically strained NMs are dislocation free and thus provide an excellent model for determining the relationship of energy levels and strain. We measure the change in the global conduction band minima near the six X-points and also higher minima at the L and $\Gamma $ points, which yield information about the direction of the absolute energy shift due to the strain. Quantitative values of the level positions, including the core levels, are provided and compared to theory. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q35.00004: Inelastic Electron Tunneling Spectroscopy Study of MOS Diodes Based on High-$\kappa $ Gate Dielectrics S.L. You, C.C. Huang, C.J. Wang, H.C. Ho, J. Kwo, W.C. Lee, K.Y. Lee, Y.D. Wu, Y.J. Lee, M. Hong Inelastic electron tunneling spectroscopy was applied to characterize the microstructure, interface, and trap-related states in silicon MOS diodes made of high $\kappa $ gate dielectrics HfO$_{2}$, Y$_{2}$O$_{3}$, and stacked HfO$_{2}$/Y$_{2}$O$_{3}$ bilayers by molecular beam epitaxy and atomic layer deposition under various heat treatments. Reproducible vibrational modes of monoclinic HfO$_{2 }$and cubic Y$_{2}$O$_{3}$ were identified from IETS spectra. The gate bias dependence of the spectrum enables to ascribe the phonon modes adjacent to the lower or upper interface. A simple modeling was employed to analyze the trap related features in the spectra of stacked HfO$_{2}$/Y$_{2}$O$_{3}$ bilayers, and showed that most traps are located near the HfO$_{2}$/Y$_{2}$O$_{3}$ interface due to dissimilar charge distributions of two ionic oxides of different cation valences, and the interfacial strains of dissimilar structures. Work is now extended to Y-doped HfO$_{2}$ films in cubic phase with an enhanced $\kappa $ over 30. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q35.00005: On the role of Al doping at the SiO$_{2}$/HfO$_{2}$ interface Onise Sharia, A.A. Demkov, G. Bersuker, B.H. Lee One of the main challenges associated with the integration of high-k gate dielectrics such as hafnia is the identification of metal electrodes exhibiting the work function aligned with Si band edges. Due to the inherent instability of metals in contact with hafnia under high temperature, the focus has recently shifted towards developing a metal gate stack with appropriate effective work functions (EWF), which would result in the required low transistor threshold voltage. In this talk we report the theoretical results on doping the SiO$_{2}$/HfO$_{2}$ gate stack with Al atoms which, as we show, controls the EWF. We consider several dopant-vacancy models in various positions with respect to the interface. The proper stoichiometry avoiding fixed charge is maintained in all models. We find that doping at the interface has lower energy than doping in the bulk of silica or hafnia, which suggests the segregation of Al atoms towards the interface. Importantly, in all cases Al-vacancy complexes at the interface significantly change the band alignment, reducing the valence band offset. Thus, doping the SiO$_{2}$/HfO$_{2}$ gate stack with Al atoms offers a consistent way to adjust the alignment. This increase of the EWF can be explained with our previously introduced model that suggests that an oxygen depleted interface provides less effective screening, which in turn increases the interface dipole. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q35.00006: Oxide charge and band alignments in Pt/epi-Lu$_{2}$O$_{3}$/Si (111) structures studied by Internal Photoemission and C-V measurements W. Cai, J.P. Pelz, C. Adamo, D.G. Schlom A variety of rare-earth/transition metal oxide films (of interest as possible ``high-k'' gate dielectrics for future MOS devices) were found to have similar band gap and band alignments to Si, and ``tailing'' conduction band (CB) states extending $\sim $1 eV below the ``primary'' CB [1]. We used internal photoemission/photoconductivity (Int-PE/PC) and capacitance-voltage (C-V) measurements to study 20 nm-thick epitaxial Lu$_{2}$O$_{3}$ film grown at 700 $^{\circ}$C on Si(111). A $\sim $1.5V difference between the oxide- and Si- flat band voltages (measured by PC and C-V respectively) indicates $\sim $6 $\times $ 10$^{12}$ cm$^{-2}$ fixed positive oxide charge, which was mostly removed by a $\sim $350 $^{\circ}$C post-metallization vacuum anneal. Int-PE measurements indicate the CB measured from the metal-side lines up $\sim $0.4 eV below the ``primary'' CB measured from the Si side, in contrast with our finding on Pt/epi-Sc$_{2}$O$_{3}$/Si (111) [2] that the metal-side CB aligned with the tail-state CB. Also, Ballistic Electron Emission Microscopy of Pt/epi-Lu$_{2}$O$_{3}$/Si (111) found $\sim $0.3 - 0.4 eV higher energy barrier than found by Int-PE, suggesting significant transient charge trapping in this sample. Work supported by NSF Grant No. DMR-0505165. [1] V. V. Afanas'ev\textit{ et al}., Appl. Phys. Lett. \textbf{85}, 5917 (2004); \textbf{88}, 032104 (2006). [2] W. Cai\textit{ et al}., Appl. Phys. Lett. \textbf{91}, 042901 (2007). [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q35.00007: Electrical and optical properties of PtSi thin films Hendrik Bentmann, A.A. Demkov, Stefan Zollner, Rich Gregory Metal silicides are used in complementary metal-oxide-semiconductor devices (CMOS) to form contacts between metal interconnects and source, drain, and gate silicon of the transistors. They offer important properties like low resistivity, low contact resistance to Si as well as excellent process compatibility with the standard Si technology. Recently, metal silicides have attracted renewed attention and they are a current research topic in the semiconductor industry. We report a joint theoretical and experimental study of thin Pt silicide films. Employing density functional theory (DFT) methods we have investigated the electronic structure as well as bonding and optical properties of PtSi and Pt$_{2}$Si. Additionally, we have calculated surface energies for various orientations and terminations of PtSi surfaces. Our results suggest that thermodynamics plays an important role in the silicide formation. The complex index of refraction determined by spectroscopic ellipsometry exhibits non-Drude behavior and shows peaks, which were identified with inter-band transitions in the d-manifold of platinum and compared to theory. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q35.00008: \textit{Ab-initio} study of early stages of III-V epitaxy on Si : direct \textit{vs.} buffer deposition on vicinal surfaces A.A. Demkov, Onise Sharia, Hendrik Bentmann III-V materials, such as GaAs or InSb as well as other compound semiconductors with high carrier mobility are considered as potential candidates for a channel material in future CMOS-type devices. The most promising route to incorporate these advanced materials into CMOS is by growing epitaxial thin films on Si, either directly or \textit{via} a buffer layer. Direct deposition suffers from a large lattice mismatch, and domain formation caused by the presence of steps on the Si surface. Perovskite oxides such as SrTiO$_{3}$ (STO) offer a possibility to reduce the lattice mismatch between Si and, e.g. GaAs in a step-wise fashion, however, the steps on the semiconductor surface present a somewhat unusual challenge. On the other hand, the use of vicinal surfaces for the direct deposition of GaAs on Si may eliminate the problem of orthogonal domains. Thus understanding the role of steps during the crystal growth is key to both approaches. In this talk we report a theoretical study of STO epitaxy on the vicinal Si(001) surface. In particular, we find that at the early stages of growth, Sr adatoms segregate to the step edges. We also consider the direct epitaxy of III-V compound semiconductors on high index Si surfaces, specifically, the silicon (112) surface. We consider In adsorption on this surface and identify a stable 7x1 substitutional reconstruction which is fundamentally different from a 6x1 reported for Ga. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q35.00009: Atomic-layer-deposited HfO$_{2}$ on In$_{0.53}$Ga$_{0.47}$As -- passivation and energy-band parameters Y.C. Chang, K.Y. Lee, M.L. Huang, Y.J. Lee, T.D. Lin, M. Hong, J. Kwo High $\kappa $ dielectric HfO$_{2}$ films were deposited by atomic layer deposition on air-exposed In$_{0.53}$Ga$_{0.47}$As/InP (100), and found to exhibit an atomically sharp interface free of arsenic oxides, an important aspect for Fermi level un-pinning. Angular-resolved x-ray photoelectron spectroscopy (XPS) using synchrotron radiation, however, observed the existence of Ga$_{2}$O$_{3}$, In$_{2}$O$_{3}$, and In(OH)$_{3}$ at the interface. The I-V of the MOS diode for an HfO$_{2}$ 7.8 nm thick follows the Fowler-Nordheim tunneling mechanism with a low leakage $\sim $10$^{-8 }$A/cm$^{2}$ at V$_{FB}$+1V, and an interfacial density of states $D_{it}$ of 2x10$^{12 }$cm$^{-2}$eV$^{-1}$. A conduction-band offset of $\sim $ 1.8 eV, and a valence-band offset of $\sim $ 2.9 eV were derived from the transport, and XPS data, respectively. For another HfO$_{2 }$film 4.5nm thick we achieved a CET value as small as 1.0nm, and a leakage of 3.8x10$^{-4 }$A/cm$^{2}$ at V$_{FB}$+1V. The good scalability of ALD grown HfO$_{2}$ film with low leakage makes it very promising for III-V MOSFET applications. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q35.00010: Interfacial-layers-free Ga$_{2}$O$_{3}$(Gd$_{2}$O$_{3})$/Ge MOS Diodes C.H. Lee, T.D. Lin, K.Y. Lee, M.L. Huang, L.T. Tung, M. Hong, J. Kwo High $\kappa $ dielectric Ga$_{2}$O$_{3}$(Gd$_{2}$O$_{3})$ films were deposited directly on Ge by Molecular-Beam-Epitaxy without the employment of GeON interfacial layer. Excellent electrical properties, such as a high $\kappa $ value of 14.5, a low leakage current density of only 3x10$^{-9}$ A/cm$^{2}$ at V$_{fb}$+1V, and well-behaved CV characteristics, were demonstrated, even being subjected to a 500$^{\circ}$C annealing in N$_{2}$ ambient for 5 min. An abrupt Ga$_{2}$O$_{3}$(Gd$_{2}$O$_{3})$/Ge interface without any interfacial layer was revealed by high-resolution transmission electron microscopy as well as \textit{in-situ} x-ray photoelectron spectroscopy (XPS). Detailed XPS studies indicate that the oxide/Ge interface consists of mainly Ge-O-Gd bonding, distinctly different from that of native oxide. Furthermore, the 500$^{o}$C annealing did not change the chemical bonding, implying a great thermodynamic stability of the hetero-structure. The outstanding electrical and thermodynamic properties qualified Ga$_{2}$O$_{3}$(Gd$_{2}$O$_{3})$ as a promising dielectric for Ge and proved the GeON interfacial layer to be unnecessary. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q35.00011: Aspect Ratio Study of Microstructures Formed using an Adaptable Photomask Anna Fox, Adam Fontecchio We present an aspect ratio study of microstructures fabricated using a holographically formed polymer dispersed liquid crystal (H-PDLC) adaptable photomask. Recently it has been shown that H-PDLC films can act as electrically controllable light valves to selectively allow transmission of UV exposure in the lithographic process, making it ideal for application as a reconfigurable photomask. This study focuses on comparing aspect ratios of structures patterned using this adaptable photomask and processed with wet etching and reactive ion etching techniques. Aspect ratio comparisons with structures fabricated using a binary mask and etched using an identical process are presented. Results indicate that features formed using an adaptable H-PDLC mask have comparable aspect ratios to features fabricated using a binary mask. H-PDLC is a polymeric material formed holographically to have periodically spaced stratified layers of cured monomer and liquid crystal droplets. Reflection of a particular band of wavelengths occurs due to the periodicity of the layers and the index mismatch of the randomly aligned liquid crystal droplets. Bias applied to the film electrically aligns the liquid crystal layers eliminating the index mismatch yielding a transparent film. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q35.00012: Characterization of surface and pore morphologies on nanoporous organosilicate films Jeeun Kim, Heeju Lee, Sanghoon Song, Wonsuk Cha, Hyunjung Kim, Gunwoo Park, Sungkyu Min, Taehoon Lee, Heewoo Rhee, Gwangwoo Kim We have fabricated nanoporous organosilicate films with reactive~ porogen trimethoxysilyl - propyl - cyclodextrin(TMSCD). With same amount of porogen loading, the films with reactive porogen. TMSCD have shown higher mechanical strength than those with other non-reactive porogen. We have measured~ the pore morphologies depending on the types of porogens and loading densities along the pore generation processes by the grazing incidence small angle x-ray scattering,~ x-ray reflectivity, and ellipsometry. We measured the porosity of the film as a function of time and the annealing condition. We determined the actual porosity by measuring electron density of the films. In low loading density (10{\%}$\sim $40{\%}) pore size is very small and pore distribution is uniform. In high loading density ($>$50{\%}) the pores start to aggregate. We found that the porosity of the films can be affected easily by moisture. The results will be discussed with the mechanical properties along the optimized conditions for the films with ultra low dielectric constant. [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q35.00013: Trap densities in porous low-k dielectric thin films as determined by optical and electrical measurements Joanna Atkin, Daohua Song, Robert Laibowitz, Eduard Cartier, Thomas Shaw, Tony Heinz Low-k dielectric materials based on porous carbon-doped oxides (p-CDOs), with relative dielectric constants as low as k = 2.1, are of great interest in the microelectronics industry. Knowledge of their basic electronic properties, such as energy gaps, barrier heights, and trap states, is essential for developing an understanding of their electrical leakage and stability characteristics. In particular, conduction via trap states is known to be an important mechanism for charge transport. We present measurements of the density of trap states in low-k p-CDO films deposited on silicon. The techniques used include photoinduced current transients, optical second-harmonic generation measurements, and C-V electrical characterization. The low-k materials are shown to have relatively high trap densities (as compared with silicon dioxide films). The different behavior of bulk and interface traps will be discussed, along with the effects of annealing in various ambients. [Preview Abstract] |
Session Q36: Focus Session: Advances in Scanned Probe Microscopy III: Force Methods
Sponsoring Units: GIMSChair: Eric Hudson, IBM
Room: Morial Convention Center 228
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q36.00001: Three-Dimensional Force Imaging and Quantification with Atomic Resolution Invited Speaker: Atomic resolution images in noncontact atomic force microscopy (NC-AFM) reflect planes of constant frequency shift. To draw conclusions on the chemical activity at specific surface sites, however, the force acting between tip and sample should be known locally rather than the frequency shift. This is not an easy translation due to the non-linear nature of the relationship between the two. To overcome this problem, several groups have developed an extension to NC-AFM, \textit{dynamic force spectroscopy}, which allows the precise, distance-dependent measurement of tip-sample forces. The forces are determined from frequency shift versus distance curves by mathematical analysis. Even though this approach had some success, prior attempts resulted either only in two-dimensional atomic resolution force \textit{xz}-maps or in data sets of relatively low resolution, as long-term drift stability has been a problem. Using our recently completed home-built low temperature, ultrahigh vacuum NC-AFM, we were able to map the complete three-dimensional (3D) force field over a surface. Simultaneously, the tip-sample interaction potential and the energy dissipation of the oscillation process were recorded. As a test material, we used highly oriented pyrolytic graphite (HOPG) in order to study the atomic-scale origins of its qualities as a solid lubricant. Individual data points have been acquired over a surface area comprising several unit cells in a 3D grid with less than 6 pm grid size in all directions. From this data set, representations of cuts in any direction can be produced. While constant height images show atomic resolution with pN force resolution, vertical cuts visualize how the attractive force fields of the atoms extend into the vacuum space. We expect that the technique will find applications in fields of science where a local knowledge of interaction forces is beneficial, such as catalysis, chemical imaging, thin film growth, device fabrication, and tribology. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q36.00002: Tuning the instability in Static Mode Atomic Force Spectroscopy by applying electric field Soma Das, P.A. Sreeram, Arup K. Raychaudhuri We study the force-distance (f-d) curves in the absence and presence of a dc bias between the cantilever tip and sample using Atomic Force Microscope (AFM). We find a new kind of bistability in the f-d curves obtained from Atomic Force Spectroscopy. The experimental signatures for this bistability point to a hysteresis like phenomenon when the f-d curves are cycled through the approach and retract paths. Interestingly, it is also observed that on application of a dc bias between the cantilever tip and sample, this bistability in the f-d curves can be tuned. This means that the ``jump-into-contact'' and ``jump-off-contact'' positions in the f-d curves change with the applied dc bias while keeping the other parameters constant. We simulate a simple model for AFM and show that this bistability is a characteristic feature of the experimental procedure and it can be controlled by applying a bias externally between the tip and sample. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q36.00003: Theoretical simulation of tapping mode AFM in water Masaru Tsukada, Naoki Watanabe For the AFM of bio-molecules as proteins, the measurement in water is essential, since properties of bio-systems in vacuum are different from those in water. We developed a basic simulation method for the tapping mode AFM in water and applied to protein samples. First, the cantilever elastic body oscillation in water very close to the sample is analyzed, by solving the fluid dynamics of water simultaneously by a specially designed finite element method. The calculated resonance curve showed strong nonlinear features, as well as the reduction of resonant frequency and lose of the sharpness of the resonance. This method is useful for designing cantilever shapes. Next, the tapping process of the tip by the sample is simulated by a visco-elastic model of bio-samples obtained coarse graining the atomistic model. Sticking and detaching of the tip to the sample, which causes large disturbance of the cantilever motion, are also analyzed. With including these processes altogether, the frequency shift, dissipating energy, phase delay of the oscillation are obtained and used to calculate tapping mode images of proteins. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q36.00004: Functional probes for scanning probe microscopy Yukio Hasegawa, Kotone Akiyama, Masayuki Hamada, Toyoaki Eguchi, Toshu An, Yasunori Fujikawa, Toshio Sakurai Inspite of importance of the probe in scanning probe microscopy (SPM), little attention was paid for the SPM probes for most of the measurements of SPM. We developed sharp metal-tip cantilevers with a typical curvature radius better than 5nm using focused ion beam (FIB) suitable for Kelvin probe force microscopy (KFM)$^{1}$. We obtained atomically resolved KFM images with an energy resolution less than 3meV with the probe$^{2}$. We also developed a glass-coated tungsten tip for synchrotron radiation-scanning tunneling microscopy with the FIB method$^{3}$ and obtained elementally resolved images in a resolution less than 20nm$^{4}$. We are now developing a precise atomic force microscope (AFM) lithography$^{5}$ with the FIB-milled tip attached to a quartz tuning fork controlled by noncontact AFM. We will present recent results of our AFM lithography, such as an Au line with a width of 20$\sim $30 nm and characters drawn with Au nano dots on a Si surface. 1 K. Akiyama \textit{et al.}, RSI \textbf{76}, 033705 (2005) 2 T. Eguchi, K. Akiyama \textit{et al.}, PRL \textbf{93}, 266102 (2004) 3 K. Akiyama \textit{et al.}, RSI \textbf{76}, 083711 (2005) 4 T. Eguchi, K. Akiyama\textit{ et al.}, APL\textbf{ 89}, 243119 (2006) 5 K. Akiyama\textit{ et al.}, JP \textbf{61}, 22 (2007). [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q36.00005: Fabrication of a NEMS Resonator Over-shield for Mass Sensing Vincent T.K. Sauer, Mark R. Freeman, Wayne K. Hiebert The frequency shift of a resonating cantilever or bridge due to mass loading is dependent on the position of the loaded mass on the resonator. Therefore, for the purpose of accurate mass sensing, it is increasingly important to know the exact position of an added mass on a resonating mass sensor. Discussed is a novel technique to build over-shield structures on top of NEMS resonating devices to physically limit the position in which a loading mass can be deposited on a mass sensor. The over-shield is composed of a PECVD silicon nitride film which is supported by a sacrificial aluminum layer. Essentially, this MEMS over NEMS device acts as an integrated shadow mask for the resonator. With this over-shield device the effect of the position of added mass on a resonator is also examined. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q36.00006: Ferromagnetic Resonance Investigation of an Individual Permalloy Dot Using Magnetic Resonance Force Microscopy J. Kim, I.H. Lee, D. Pelekhov, Yu. Obukhov, P. Banerjee, I. Martin, P. Wigen, P.C. Hammel We report Ferromagnetic Resonance (FMR) investigations of individual 5.3 micron diameter permalloy dots using low temperature (4 K) Magnetic Resonance Force Microscopy (MRFM). The dot magnetization is saturated in the external magnetic field perpendicular to the plane of the sample. The evolution of the MRFM signal as probe-sample separation and the lateral probe position are varied reveals the shape of the magnetostatic modes excited in the dot in the presence of the strongly inhomogeneous magnetic field of the MRFM probe magnet. The experimental data agree excellently with micromagnetic modeling which suggests that localized FMR modes are excited in the sample. This effect opens the way for spatially resolved studies of ferromagnetic systems. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q36.00007: Detecting Few Electron Spins by Magnetic Resonance Force Microscopy with Potential Application for Donor Mapping in Semiconductor Kin Chung Fong, Palash Banerjee, Yuri Oboukhov, Denis Pelekhov , P. Chris Hammel We report measurements of the statistical polarization of small electron spin ensembles by Magnetic Resonance Force Microscopy (MRFM). The experiments were conducted at T = 4 K using an IBM-style ultrasoft micromechanical cantilever outfitted with a high coercivity micromagnetic probe. Magnetic resonance signals from tens of electron spins with spin-correlation time of $\sim $400 ms are obtained. In order to apply MRFM for spatial mapping of donor electrons in semiconductors, non-contact friction between the cantilever and the silicon surface is investigated. We found the combination of hydrogen passivation, gold coating, and shielding the sample surface from stray laser light reduces the non-contact friction by almost a factor of 100. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q36.00008: Force detected electron spin resonance from N@C$_{60}$ thin films Palash Banerjee, K.C. Fong, D.V. Pelekhov, P.C. Hammel We report on force-detected electron spin resonance studies of thin films of endohedral fullerene N@C$_{60}$. The electron spin associated with the nitrogen atom exhibits long spin-lattice relaxation times ($T_1$) at low temperatures. By combining microwave pulses with periodic adiabatic spin inversions in large gradients, we are able to selectively manipulate and detect the spins in submicron volumes. We also discuss our progress in detecting {\em statistical fluctuations} of the spin magnetization in this system using ultrasensitive force detection techniques. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q36.00009: Development of a $^3$He Nuclear Magnetic Resonance Force Microscope* Mark Monti, Han-Jong Chia, Yong Lee, John Markert We report on construction of a $^3$He Nuclear Magnetic Resonance Force Microscopy (NMRFM) probe for nanoscale scanning and relaxation-time applications. Dual 3-axis piezo-driven stages yielded nanoscale positioning precision across several millimeters. We performed measurements on $^1$H nuclei in single crystal (NH$_4$)$_2$SO$_4$ in a sample-on-oscillator configuration at room temperature. A 0.25-mm-diameter permalloy magnet provided a field gradient of $\sim$500 T/m. The magnet position was scanned to achieve resonance; the RF frequency was also independently varied to verify the NMR nature of the force-detected signal. These first tests used a commercial AFM cantilever with a loaded resonance frequency of 2.0 kHz and spring constant of $\sim$0.03 N/m; motion was detected with a laser interferometer (1310 nm). Using cyclic adiabatic inversion (CAI), we detected a nuclear moment of 1.9 $\times 10^{-16}$ J/T with SNR $\approx 6$. By preceding the CAI sequence with a short, variable-length pulse, a spin nutation signal was observed over several cycles of period 17 $\mu$s, implying a rotating RF field of 14 G. Using a $(\pi/2) $-$\tau$-$\pi$-$t$-$\pi/2$-CAI sequence, a spin-echo was mapped out, with a FWHM of 8 $\mu$s. We also discuss plans to extend measurements towards the base temperature of the probe, 0.3 K. *This work was supported by NSF Grant Nos. DMR-0605828 and DGE- 0549417. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q36.00010: NMR Force Microscopy on Co/Cu interface Yu. Obukhov, D. V. Pelekhov, P. Banerjee, J. Martindale, K. C. Fong, P. C. Hammel We present our recent NMR Force Microscopy experiments, where we demonstrate the first detection of 63Cu and 65Cu NMR using Magnetic Resonance Force Microscopy (MRFM). The signals were detected at T = 5 K using a commercial Si3N4 cantilever with a spherical NdFeB probe magnet. We demonstrate MRFM detection sensitivity of 1.0e5 nuclear spins. We report measurements of the relaxation time, signal lifetime, and the results of nutation experiments. We also discuss the application of NMRFM for spatially resolved mapping of the local hyperfine field variation in the vicinity of a buried Co/Cu interface arising from the RKKY interaction. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q36.00011: Micromagnetic Modeling of Localized Ferromagnetic Resonance Detected with Magnetic Resonance Force Microscopy Denis V. Pelekhov, Ivar Martin, Yuri Obukhov, Jongjoo Kim, Inhee Lee, Evgueni Nazaretski, Roman Movshovich, P. Chris Hammel Magnetic Resonance Force Microscopy (MRFM) is a novel scanned probe technique based on mechanical detection of magnetic resonance. Its extreme sensitivity originates partially from the high magnetic field gradient of MRFM probe micromagnet which couples the MRFM probe to the magnetic moments in the sample. We report micromagnetic modeling of Ferromagnetic Resonance (FMR) performed in the local field of the micromagnetic MRFM probe: its strongly inhomogeneous field enables the excitation of localized FMR modes in the sample. This unusual effect provides a mechanism for spatially resolved FMR investigations of ferromagnetic systems. We discuss spatial resolution and results for both quasi 2D and 1D systems. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q36.00012: Magnetic Resonance Force Microscopy System Design for the Study of Organic Materials Doran Smith We will present an overview of our program to develop an MRFM system specialized for the study of organic materials at 4 K. The system uses the SPAM geometry and the CERMIT protocol and is predicted to be capable of imaging organic materials. With the system we have obtained an MRFM signal on a sample of GaAs with known characteristics. We will present the most recent results of our program to study organic materials with MRFM. [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q36.00013: Applications of scanning Kelvin probe microscopy in the characterization of photovoltaic materials and devices Chunsheng Jiang, Helio Moutinho, Mowafak Al-Jassim We have in recent years developed scanning Kelvin probe microscopy (SKPM), and applied this nanometer resolution technique to the characterization of III-V-, II-VI-, and thin film Si-based single- and multi-junction solar cell devices. In this presentation, we will report our improvements of the SKPM technique and show three examples of the potential measurements. We will first show a Bi-incorporation-induced junction movement in a MBE-grown single-junction GaInNAs cell. This junction movement caused significant device degradation, especially in the short wavelength range. We then present potential distributions among the top and bottom junctions in a GaInP$_{2}$/GaAs tandem-junction cell. A light-induced potential flattening in the top junction and a potential accumulation in the bottom junction was clearly measured. Lastly, we will show a non-uniform distribution of the electric field across an a-Si:H $n-i-p$ junction, and this electric field was significantly improved by depositing buffer layers at the $n/i$ and $i/p$ interfaces. [Preview Abstract] |
Session Q37: Magnetotransport in 2DEGs
Sponsoring Units: FIAPChair: Mansour Shayegan, Princeton University
Room: Morial Convention Center 229
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q37.00001: Effective mass suppression in interacting, fully spin-polarized 2D electron systems in wide AlAs quantum wells Medini Padmanabhan, T. Gokmen, N.C. Bishop, M. Shayegan We report effective mass measurements, via analyzing the temperature dependence of the Shubnikov-de Haas oscillations, in dilute two-dimensional electron systems (2DESs) confined to wide AlAs quantum wells. In this system electrons have an anisotropic in-plane Fermi contour. When the 2DES is partially spin-polarized, the effective mass is larger than its band value and increases as the density is reduced, consistent with previous results in various 2DESs. An unexpected trend emerges as we fully spin-polarize the 2DES by subjecting it to a strong parallel magnetic field: the mass falls below the band value and tends to decrease with decreasing density. [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q37.00002: Effective mass suppression in interacting, fully spin-polarized 2D electron systems in narrow AlAs quantum wells Tayfun Gokmen, Medini Padmanabhan, K. Vakili, M. Shayegan Similar to the study described by M. Padmanabhan et al. (previous abstract), we perform effective mass measurements in a two-dimensional electron system (2DES) confined to a narrow (45{\AA}-wide) AlAs quantum well. In contrast to the 2DESs confined to wide AlAs quantum wells, in this system the electrons occupy a single out-of-plane valley with an isotropic in-plane Fermi contour. We confirm that the effective mass for the fully spin-polarized 2DES is suppressed compared to the partially spin-polarized value. [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q37.00003: Effects of mass anisotropy, thickness and disorder on the spin susceptibility of the 2DEG in AlAs QWs Mariapia Marchi, Stefania De Palo, Gaetano Senatore, Saverio Moroni We present predictions of the spin susceptibility $\chi _{s}$, obtained from extensive DMC simulations, for a two dimensional (2D) electron gas (EG) with mass anisotropy appropriate to AlAs QWs[1], both in the strictly 2D limit and with thickness included. We demonstrate that in the one-valley case anisotropy suppresses $\chi _{s}$ substantially at all densities and in particular at those relevant to experiments[1], the effect being larger at lower density. This suppression adds onto the one due to thickness[2,1]. The comparison of our results for a model EG including both thickness and anisotropy with experiments for AlAs QW's[1] reveals the role of disorder in determining the measured spin susceptibility. In the two-valley case we find an interesting interplay of anisotropy and valley degree of freedom in determining the EG properties and in particular the spin susceptibility. [1] T. Gokmen et. al., Phys. Rev. B in press and cond-mat 0711.1294. [2] S. De Palo et. al., Phys. Rev. Lett. 94, 226405 (2005); and to be published [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q37.00004: Heat Transport Measurements on 2D Electron Systems at Zero Magnetic Field using Quantum Point Contacts D.A. Nichols, G. Granger, J.P. Eisenstein, J.L. Reno, L.N. Pfeiffer, K.W. West Three adjacent quantum point contacts (QPCs) separated by 20 micrometers are fabricated along the edge of a GaAs/AlGaAs two-dimensional electron gas (2DEG). The 2DEG is heated locally by passing an electrical current through the middle QPC, which is tuned so only a few channels propagate. A thermovoltage develops across a detector QPC on either side of the heater, and its gate voltage dependence is related to the derivative of the conductance of the detector QPC as expected from Mott's formula. The thermovoltage dependences on power and temperature are also investigated. These experiments illustrate the feasibility of using mesoscopic devices to study heat transport in 2D electron gases with various geometries. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q37.00005: Observation of Chiral Heat Transport in the Quantum Hall Regime G. Granger, D.A. Nichols, J.P. Eisenstein, J.L. Reno, L.N. Pfeiffer, K.W. West The nature and properties of heat transport at the edge of a quantum Hall state are investigated using three adjacent quantum point contacts (QPCs) separated by 20 micrometers fabricated along the edge of a GaAs/AlGaAs two-dimensional electron gas (2DEG). With the bulk of the device at filling factor $\nu$=1, a thermovoltage signal appears across a detector QPC only on one side of the heater QPC depending on the direction of the magnetic field. This behavior indicates that heat transport is chiral at this filling factor. Raising the temperature decreases the thermovoltage, as the electrons carrying the heat find more ways to cool off at higher temperatures. When the distance between the heater and the detector is doubled, the thermovoltage is reduced, meaning that the electrons cool significantly over distances on the order of tens of micrometers. These findings are qualitatively insensitive to the exact magnetic field over the field range corresponding to the $\nu$=1 minimum. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q37.00006: Momentum resolved transport spectroscopy of quantum Hall edges in a bent quantum well Lucia Steinke, A. Fontcuberta i Morral, M. Bichler, G. Abstreiter, M. Grayson A new magnetic field orientation is introduced for a bent quantum well, such that momentum-resolved edge-state spectroscopy is possible up to fractional filling factors. A bent quantum well (BQW) provides a unique way of coupling to quantum Hall edges when the junction length is reduced to the mean free path $\sim 10 \mu$m, constituting a weak link between the two facets. With a magnetic field B perpendicular to one facet, the other facet can probe momentum-resolved transport spectroscopy of the edge states even though no barrier is present. We measure the differential conductance dI/dV across the BQW junction as a function of the dc bias voltage Vdc at magnetic fields between 0 and 18 T ($\nu < 1/3$). Above 1.5 T a conductance-suppression gap evolves around zero bias, and resonance peaks are observed at the gap edges, reminiscent of previous momentum-resolved work. The gap size increases and at 6 T becomes asymmetric, with one of the flanking resonances becoming stationary and extremely sharp. These main features can be interpreted in terms of momentum resolved coupling, and the positions of these features are in good quantitative agreement with Hartree calculations of this system. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q37.00007: From insulating behavior to quantum Hall liquid at low magnetic fields T.-Y. Huang, Chi-Te Liang, Gil-Ho Kim, Chun Feng Huang, C.-P. Huang, J.-Y. Lin, H.-S. Goan, D.A. Ritchie It is an interesting, but unsettled issue whether a direct transition from an insulating (I) state to a $\nu>2$ quantum Hall (QH) liquid is a genuine phase transition where $\nu$ denotes the filling factor [1]. It is argued that the observed low-field direct transition is not a quantum phase transition, but can be ascribed to a crossover from weak localization to Landau quantization (LQ) [1]. We shall show that between the insulating region and the QH regime, multiple temperature ($T$)-independent points in the longitudinal resistance can be observed in a moderate-mobility two-dimensional electron system containing InAs quantum dots. Interestingly, the amplitudes of the accompanying resistance oscillations can be well approximated by the conventional Shubnikov-de Haas theory, suggesting metallic behavior. Moreover, our data show that LQ can modulate the density of states without causing the formation of a QH liquid, demonstrating that the crossover from insulating behavior to Landau quantization can occur over a wide range of magnetic field. We suggest that to obtain a correct insight into the low-field I-QH transition, the argument raised by Huckestein [1] ought to be modified. Ref: [1] B. Huckestein, PRL 84, 3141 (2000) and references therein. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q37.00008: In-plane field induced anisotropy in the microwave/rf resonances of 2D electrons at the second excited Landau level Han Zhu, G. Sambandamurthy, L. Engel, D. C. Tsui, L. Pfeiffer, K. West We report measurements of the microwave/rf diagonal conductivity of ultrahigh mobility 2D electron systems in GaAs/AlGaAs quantum wells, at the second excited Landau level (LL), with in-plane magnetic field $B_{ip}$. Previous measurements [1] at $B_{ip}=0$ have found an essentially isotropic pinning mode resonance of the bubble phase near LL filling 4.15 to 4.4. As $B_{ip}$ is applied, with the rf electric field $E$ either parallel or perpendicular to $B_{ip} $, the peak frequencies $f_{pk}$ and resonance widths almost identically increase, probably due to stronger pinning as the electron wavefunction is being pushed closer to the GaAs/AlGaAs interface. However, $B_{ip}$ is found to induce anisotropy in the ratio of the integrated intensity $S$ over $f_{pk}$, which is thought to be proportional to the participating carrier density. As $B_{ip}$ is applied, $S/f_{pk}$ increases with $E$ perpendicular to $B_{ip}$ and decreases with them parallel. Similar behavior is found in the resonances from the Wigner crystal phase formed within the same LL. [1] R. Lewis et al., PRL 89,136804 (2002). [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q37.00009: Microwave modes of two dimensional electron systems near macroscopic ferromagnets Brenden Magill, L. W. Engel, M. P. Lilly, J. A. Simmons, J. L. Reno We report on microwave measurements of a high mobility two dimensional electron system (2DES) in a homogenous external field B$_{0}$, and with cylindrical ferromagnets of radii r$_{m}$ placed on the surface of the sample with the long axis perpendicular to the 2DES. The magnet materials are Dy and permalloy, and r$_{m}$ varies from 0.5 mm to 0.125 mm. Microwave spectra measured for transmission between two ohmic contacts show resonant absorption at peak frequency, f$_{pk}$, decreasing as B$_{0 }$or r$_{m }$increase. We will interpret the data in terms of plasma excitations similar to edge magnetoplasmons [1] confined under the edges of the magnets by the large magnetic field gradients there. [1] See, for example, V. A. Volkov and S. A. Mikhailov, Sov. Phys.-JETP \textbf{67}, 1639(1988). [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q37.00010: Radiation-induced decay of Shubnikov-de Haas oscillations in the regime of the radiation-induced zero-resistance states in GaAs/AlGaAs devices Ramesh Mani The observation of zero-resistance states upon photo-exciting a quasi two-dimensional electron system has increased the experimental and theoretical interest in the photoexcited steady states of the low dimensional electron system.[see 1] We examine here the experimentally observed decay of Shubnikov-de Haas (SdH) oscillations under photoexcitation in the regime of the radiation-induced zero- resistance states with resolved Landau levels, in order to obtain further insight into the zero-resistance states themselves.[2,3] A covariation study indicates that the SdH amplitude scales simply with the average background resistance in the vicinity of the radiation-induced resistance minima and maxima,[3,4] as the SdH amplitude vanishes in proportion to the background resistance at the centers of the zero-resistance states. The results suggest that the dissipative current is suppressed in proportion to the background resistance, at the centers of the radiation-induced zero-resistance states. [1] R. G. Mani, Sol. St. Comm. 144, 409 (2007). [2] R. G. Mani, Appl. Phys. Lett. 85, 4962 (2004). [3] R. G. Mani, Appl. Phys. Lett. 91, 132109 (2007). [4] R. G. Mani, unpublished. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q37.00011: Floquet Theory of Magneto-Resistivity Oscillations in Microwave Irradiated 2DEGs Assa Auerbach, G. Venketeswara Pai Some remarkable phenomena have been recently observed in semiconductor heterostructures: microwave induced resistivity oscillations (MIRO), Hall induced resistivity oscillations (HIRO), and zero resistance states (ZRS). These effects were seen at weak magnetc fields and high temperatures, where Shubnikov de-Hass oscillations are thermally smeared and the transport is expected to be classical Drude-like. However microwave radiation, or large Hall currents expose the underlying Landau quantization and result in MIRO and HIRO. Theoretically, it is essential to get the full {\bf nonlinear current-field response} in the presence of strong radiation fields and disorder to handle these effects. Here we generalize the Floquet operator approach to incorporate arbitrary large electric fields into the zeroth order evolution operator. We construct the {\em disordered Floquet evolution operator} which allows us to systematically calculate the nonlinear photocurrent to second order in short range disorder. We derive the magnitude of MIRO from the microscopic parameters. We deduce the optimal conditions of disorder for obse4rving large MIRO and ZRS effects. We determine the characteristic Hall fields detemining the HIRO, and the magnitude of spontaneous ZRS fields. Reference: A. Auerbach and G. V. Pai, Phys. Rev. B {\bf 76}, 205318 (2007). [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q37.00012: The origin of the stripes observed in scanning single-electron transistor and in mesoscopic transport measurements of quantum Hall samples Chenggang Zhou, Mona Berciu We analyze two seemingly unrelated types of experiments on quantum Hall samples. When the measured quantities (local compressibility and resistances) are plotted as a function of magnetic field($B$) and electron density($n_e$), both experiments exhibit stripes parallel to lines of integer filling factors on the $B$-$n_e$ plane. Unlike the popular belief in Coulomb blockade physics, we explain this within the framework of non-interacting electron theory. Our numerical simulations and theoretical analysis demonstrate that new electronic states appear predominantly at the center of Landau levels, when the magnetic field increases. This leads to a certain ``spectral ordering" of the localized states that is sufficient to explain the main features observed in the experiments. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q37.00013: External Field Effecting Excitonic Superfluid in Drag Geometry Jung-Jung Su, Allan H. MacDonald We will report on transport properties of excitonic superfluid under the influence of external applied field in generalized drag geometries. In such geometry, voltages are applied to the driven layer and a tunnable load resistance is added across the drag layer, which enable current flows in the drag layer when an excitonic condensate is established. The physics of the excitonic superfluid is described macroscopically by classical model and justified by microscopic mean-field plus non-equilibrium Green's function(NEGF) approach. We found that the excitonic superfluid only exist in the voltage configurations in which charge conservation law is satisfied, given a zero tunneling system. This system is then well described by a set of circuit, on the premise that the quasiparticle current can not flow through the system, and the current thus obtained is in great consistent with our NEGF calculation. Finally, we proposed a method of detecting tunneling strength by the non-conservation of charge in the presence of bare tunneling. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q37.00014: Supersolid of indirect excitons in electron-hole quantum Hall systems Chang-hua Zhang, Yogesh N. Joglekar We investigate the ground state of a balanced electron-hole system in the quantum Hall regime using mean-field theory and obtain its phase diagram as a function of interlayer distance $d$ and the filling factor within a layer. We identify an excitonic condensate phase, a supersolid phase, as well as uncorrelated Wigner crystal states. We find that balanced electron-hole system exhibits a supersolid ground state over a wide range of filling factors. We obtain the ground state stiffness in the the excitonic phases and show that the phase transitions from a uniform condensate to a supersolid is accompanied by a marked change in the stiffness. Our results provide the first semi-quantitative determination and analysis of the supersolid of excitons. [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q37.00015: Insulating, Metallic, and Superconducting Transport regimes of 2D Amorphous Superconducting Films in B-T-Disorder Space Yize Li, Jongsoo Yoon Amorphous tantalum thin films are known to exhibit a superconductor-metal-insulator transition in the zero temperature limit with increasing magnetic fields. The metallic phase intervening the superconducting and insulating phase is unexpected. Each phase is known to exhibit unique nonlinear transport properties [1] with intrinsic origins [2]. In order to study how the mechanism behind each phase is influenced by B, T, and disorder, we have measured the evolution of nonlinear transport properties by changing B, T, and disorder. The resulting ``phase diagram'' of a sample with normal state sheet resistivity of 2.3 kilo-ohm indicates that the superconducting phase is completely surrounded by metallic phase, and a direct superconductor-insulator transition is not allowed. Recently, we extend our study on other samples with different disorder that is controlled by film thickness. By combining these results, we can map out the 3D phase diagram in B-T-disorder space. [1] Y.Qin et al., Phys. Rev. B 73, 100505(R) (2006). [2] Y. Seo et al., Phys. Rev. Lett. 97, 057005 (2006). [Preview Abstract] |
Session Q38: Focus Session: Ferroelectric Films and Finite Size Effects
Sponsoring Units: DCMPChair: Max Stengel, University of California, Santa Barbara
Room: Morial Convention Center 230
Wednesday, March 12, 2008 11:15AM - 11:51AM |
Q38.00001: Imaging ferroelectric polarization by electron holography Invited Speaker: Understanding solids means analysis of the arrangement of the different atoms, e.g. at interfaces, and the intrinsic electric and magnetic fields, as well as the resulting charge distribution. This is particularly important for functional materials, such as semiconductors, ferroelectrics and ferromagnetics. There is a variety of tools answering these questions partially. In particular since correction of aberrations [1], Transmission Electron Microscopy (TEM) offers a lateral resolution below 0.1nm hence can locally analyze position and species of atoms e.g. at interfaces. The most severe drawback is that the phase of the electron wave is not accessible by conventional imaging methods, and therefore phase-modulating peculiarities of the object such as electric and magnetic fields are invisible. However, these are measurable by TEM-holography rendering both amplitude and phase distributions produced by the object. For an overview see e.g. [2]. The electron phase $\varphi $ is modulated by the electric potential $V(x,y,z)$ as $\varphi (x,y)=\sigma \int\limits_{object} {V(x,y,z)dz} $ with interaction constant $\sigma $. In ferroelectrics, the polarization $\vec {P}$ contributes with a phase shift $\varphi _{pol} (\vec {r})=\frac{\sigma }{\varepsilon _0 }\int\limits_{object} {\left[ {\int\limits_{\vec {r}0}^{\vec {r}} {\vec {P}(x,y,z)} d\vec {r}} \right]dz} $ with respect to a reference point $\vec {r}0$, chosen in field-free space; $\vec {r}=(x,y)$ is the coordinate perpendicular to $z$-direction. Therefore, the projected in-plane polarization $\vec {P}_{proj} (\vec {r})=\int\limits_{object} {\left[ {\int\limits_{\vec {r}0}^{\vec {r}} {\vec {P}(x,y,z)} d\vec {r}} \right]dz} $ would be determined from a phase image. However, the polarization is partly compensated by \textit{compensating charges }at surfaces, interfaces and domain boundaries, which contribute with a corresponding potential distribution. The net effect is found in the phase image reconstructed from an electron hologram. Meanwhile, specific ferroelectric effects are found on micrometer and nanometer, even at atomic dimensions. [1] M. Haider et al., Nature 392 (1998) 768. [2] H. Lichte et al., Ann. Rev. Materials Research 37 (2007), 539 [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q38.00002: Intrinsic Bipolar Carrier (e$^{-}$/h$^{+}$) Layer on Clean Surface of Insulating BaTiO$_{3}$ Y. Watanabe, D. Matsumoto, Y. Urakami, S. Kaku \textbf{We show experimentally the electron/hole layer on the clean surface of insulating pure BaTiO}$_{3}$\textbf{ single crystals in ultra high vacuum, which is the first report of the }\textbf{\textit{bipolar}}\textbf{ surface carrier layer by the field effect on any oxide to our knowledge. The experiments here demonstrate that the surface carrier layer is not due to impurities or defects but is intrinsic due to the spontaneous polarization }\textbf{\textit{P}}$_{S}$\textbf{.} The surface carrier layer induced by $P_{S}$ was found to exist at least to 80K on atomically ordered surface of insulating BaTiO$_{3}^{\ast }$, which has become the basis of a recent new memory device. However, much skepticism about its intrinsic nature is unresolved. We report here the disappearance of the carrier layer above Curie temperature, its disappearance by the exposure to a low vacuum, which indicates its location as the top surface, and, both electron/hole carrier layers by $P_{S}$. Here, the control of the electron and hole surface layer is only through the direction of poling. The realization of the hole layer is clear evidence that oxygen vacancies are inessential to the formation of the carrier layer, because oxygen vacancies kill the hole carrier layers. The present results have various implications for the basis of ferroelectric electrics such as nano-ferroelectrics, field effects and domains. $^{\ast }$Watanabe et al., \textit{Phys}.\textit{ Rev}. \textit{Lett.} 86, 332 (2001). [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q38.00003: Contrast in ultrathin film ferroelectric behavior between air and vacuum environments A. P. Baddorf, P. Maksymovych, S. V. Kalinin, R. Ramesh At nanoscale dimensions, ferroelectric properties of oxide materials are dominated by depolarizing effects which depend strongly on the electrostatic screening at the interfaces and the environment. Oxide surfaces readily react with adsorbed molecules that may chemically or electronically alter the ferroelectric behavior. We have examined ultrathin (5-20 nm) BiFeO$_{3}$ thin films grown on SrTiO$_{3}$(110) using ultra-high vacuum Piezoresponse Force Microscopy. All the films reveal a characteristic behavior trend that in air the films are uniformly polarized, while multiple domains are observed in vacuum. The monodomain to polydomain transition occurs via either annealing in oxygen or simple evacuation, which suggests that molecules may be weakly adsorbed on the surface. Local ferroelectric switching behavior has also been compared for vacuum and ambient environments on the same substrate. Measurements were made at the Center for Nanophase Materials Sciences, sponsored at Oak Ridge National Laboratory by the Division of Scientific User Facilities, U.S. DOE. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q38.00004: X-ray Studies of Chemical Switching of PbTiO$_{3}$ on SrRuO$_{3}$ Carol Thompson, R.-V. Wang, D.D. Fong, F. Jiang, S.K. Streiffer, P.H. Fuoss, J.A. Eastman, G.B. Stephenson Recent studies have shown that monodomain polarization can be stabilized in thin films not only through the presence of electrodes that provide electronic compensation at the film interfaces but also through surface-adsorbed ions or charged interfacial defects/impurities. Here, we use real-time synchrotron x-ray scattering to investigate changes in the polarization of PbTiO$_{3}$ films induced by varying the chemistry of the vapor above the film surface. We observe that the sign of the polarization can be reversibly switched by changing the partial pressure of oxygen ($p$O$_{2})$ in equilibrium with the film surface. The dependence of film lattice parameter on $p$O$_{2}$ is bistable, following a butterfly loop analogous to that observed under applied voltage. The large compressive strains observed in the thinnest films prior to switching indicate that the chemical switching process can result in electric fields approaching the intrinsic coercive field for PbTiO$_{3}$. Work supported by the U. S. Department of Energy under Contract No. DE-AC02-06CH11357. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q38.00005: First-principles prediction of switchable stoichiometry at interfaces Sergey V. Levchenko, Andrew M. Rappe We present a first-principles density functional theory (DFT) study of the relative thermodynamic stability of ferroelectric (FE) lithium niobate (LiNbO$_3$) (0001) surfaces of different stoichiometry. We predict that the equilibrium stoichiometries are different for the positively and negatively polarized LiNbO$_3$ surfaces under the same conditions. A correct way of calculating surface charges for ferroelectric materials with intrinsic polar stacking is developed. It is found that surface charge passivation by ions is thermodynamically favored over passivation by mobile carriers in a wide range of chemical potentials. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q38.00006: Phase Field Modeling of Ferroelectric Thin Films with Space Charge Rajeev Ahluwalia, Nathaniel Ng, Haibin Su, Freddy Boey The time-dependent Ginzburg-Landau (TDGL) equations and phase field modeling have been used to describe various phenomena in ferroelectric materials, such as domain nucleation and evolution, and hysteresis. This work applies the TDGL model to explain the behavior of perovskite ferroelectric thin film with space charge. Results show that the presence of space charge at the surface significantly influences the switching process and domain structures in ferroelectric thin films. The role of space charge on size effects is also studied. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q38.00007: One-dimensional polydomains in Ferroelectric thin films Matias Nunez, Marco Buongiorno Nardelli The local nature of the interface in metal/ferroelectric oxide junctions can drastically affect the polarization in the ferroelectric film. As the thickness of the film is reduced, the intensity of the depolarization field increases and the system will reduce its electrostatic energy in two ways, either by forming lateral 180$^{\circ}$ domains [1], or by reducing the ionic polarization while remaining in a monodomain state. Using DFT, maximally localized Wannier functions [2] and the layer polarization concept [3], we studied the ferroelectricity in thin layers of BaTiO$_{3}$ sandwiched between metal. Our results suggest that the structures associated with this spatial scale are more complex than previously thought, and we show how a pattern of the local polarization provides another way to minimize the internal energy below certain critical thickness. This pattern is characterized by the appearance of \textit{one-dimensional polydomains}, consecutive dipoles with opposed orientations in the direction perpendicular to the ferroelectric thin film/metal interface. \newline \newline [1] V.Nagarajan \textit{et al}, J. Appl. Phys. \textbf{100}, 051609 (2006). \newline [2] N. Marzari, and D. Vanderbilt, Phys. Rev. B \textbf{56}, 12847 (1997); A. Calzolari \textit{et al}., Phys. Rev. B \textbf{69}, 035108 (2004). \newline [3] X. Wu\textit{ et al}, Phys. Rev. Lett. \textbf{97}, 107602 (2006). [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q38.00008: Theory of Electric Polarization Induced by Inhomogeneity in Crystals Di Xiao, Junren Shi, Dennis Clougherty, Qian Niu We develop a general theory of electric polarization induced by inhomogeneity in crystals. We show that contributions to polarization can be classified in powers of the gradient of the order parameter. The zeroth order contribution reduces to the well-known result obtained by King-Smith and Vanderbilt for uniform systems. The first order contribution, when expressed in a two- point formula, takes the Chern-Simons 3-form of the vector potentials derived from the Bloch wave functions. Using the relation between polarization and charge density, we demonstrate our formula by studying charge fractionalization in a two-dimensional dimer model recently proposed. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q38.00009: Ferroelectric Thin Films Under Inhomogeneous Electric Fields - Lateral Size and Thickness Dependence Nathaniel Ng, Rajeev Ahluwalia, Haibin Su, Freddy Boey Advances in nanoscale ferroelectric devices have led to interest in studying size effects in ultrathin films whose properties differ substantially from the bulk. In fact, thickness-dependent behavior has been well studied both theoretically and experimentally, but not much attention has been devoted to the role of lateral size. Our investigations indicate that fringing electric fields leads to switching via 90\r{ } domain wedge nucleation for films above a certain critical thickness which stabilize 180\r{ } domain walls, which may be of interest in PFM applications. Results also show a minimum lateral width whereby the film becomes virtually impossible to pole. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q38.00010: Critical thickness for memory in thin ferroelectric films A.M. Bratkovsky, A.P. Levanyuk The finite screening length by real metallic electrodes, albeit very small ($<1\AA$), results in finite depolarizing field that tends to split the film into domains. We prove that, as a result, a critical thickness for ferroelectric memory is a few times larger than the critical thickness for ferroelectricity itself, especially in cubic perovskite films. For instance, the critical thickness of strained BaTiO3 films on SrRuO3/SrTiO3 substrate for onset of (meta)stable memory should be in excess of 4.5 nm compared with critical thickness of 1.6 nm for existence of ferroelectric domains. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q38.00011: Transport properties of epitaxial ferroelectric trilayer heterostructures with BaTiO$_{3}$ barriers D.A. Felker, H.W. Jang, C.B. Eom, M.S. Rzchowski We studied the influence of the polarization on the transport properties of epitaxial trilayer heterostructures with SrRuO$_{3}$ metallic oxide electrodes and ferroelectric BaTiO$_{3}$ barriers. The heterostructures were grown using atomic-layer controlled pulsed laser deposition, with barrier thickness ranging from 4 nm to 100 nm. We discuss the frequency and thickness dependence of the P-E loops, and both voltage-biased and current-biased current-voltage (I-V) characteristics. We find that the I-V curves of the thinnest barriers show a hysteresis due to the switching of the polarization in the ferroelectric barrier, leading to a change in the conductance of the junction. The reasons for the change in conductance were explored. We considered the shift in the potential barrier height for direct tunneling across the barrier, the role of defects, and possible structural changes in the junction. A ferroelectric junction with clear resistive switching is a candidate for nonvolatile memory applications. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q38.00012: $^{17}$O Nuclear Magnetic Resonance Chemical Shielding Calculations of PZT Solid Solutions Daniel L. Pechkis, Eric J. Walter, Henry Krakauer First principles B3LYP calculations of $^{17}$O NMR in PbTiO$_3$, Pb(Zr$_\frac{1}{2}$Ti$_\frac{1}{2}$)O$_3$ (PZT), and PbZrO$_3$ will be presented. These systems were modeled with finite size quantum clusters embedded in point charge arrays. The embedding reproduces the Ewald Coulomb potential to better simulate the crystal environment.\footnote{M. K. Klintenberg, S. E. Derenzo, and M. J. Weber. Comput. Phys. Commun.{\bf 131}, 120, (2000).} For polar systems, the calculations were performed in the presence of an external electric field to cancel surface depolarization effects.\footnote{S. Li and K. Rabe. APS March Meeting abstract (2007).} PZT was modeled using three chemically ordered structures: P4mm, P2mm, and R3m. Two groupings of $^{17}$O isotropic chemical shifts $\delta_{iso}$ are seen in all of our PZT calculations with [001] ordering. One is at $\delta_{iso}$ $\approx$ 400ppm and the other is at $\delta_{iso} \approx$ 650ppm. We relate these to variations in the Ti-O and Zr-O bond lengths and use this to interpret recent experimental measurements.\footnote{ A. Baldwin, P.A. Thomas and R. Dupree, J. Phys: Cond. Matt. {\bf 17}, 7159 (2005).} [Preview Abstract] |
Wednesday, March 12, 2008 2:03PM - 2:15PM |
Q38.00013: First-principles calculation of phonon dispersions for Ba$_{1-x}$Ca$_{x}$TiO$_{3}$ Taichi Kosugi, Shinji Tsuneyuki Amongst perovskite oxides, BaTiO$_{3}$(BTO) is widely used for its distinct dielectric, piezoelectric and optical properties. Its lattice dynamics, associated with atomic displacements, have been intensively studied both theoretically and experimentally, since is essential for the dielectric instability of this material. Recently Ca-doped BTO(BCTO) single crystal was synthesized by Fu et al. and found to have exotic natures. Using the direct method proposed by Parlinski, we calculated the phonon dispersions of BCTO in a fully \textit{ab initio} manner, in which the force constants, the Born effective charges and the dielectric tensors are determined from first-principles. [Preview Abstract] |
Session Q39: Focus Session: Models and Materials Far from Equilibrium
Sponsoring Units: GSNPChair: Michel Pleimling, Virginia Polytechnic Institute and State University
Room: Morial Convention Center 231
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q39.00001: Self-Organized Porous Nanostructures in Anodized Metal Oxide Liam Stanton, Alexander Golovin We consider the self-organization of porous nanostructures in anodized metal oxide. We have developed a mathematical model which incorporates the electro-chemical transport of oxygen anions within the oxide layer and the chemical reactions at the metal-oxide and oxide-electrolyte interfaces. It is shown through linear stability analysis, that a short-wave instability exists in certain parameter regimes which leads to the formation of hexagonally ordered pores observed in anodized aluminum oxide. Numerical simulations validate these results. [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q39.00002: Intercalation of Li Ions into a Graphite Anode Material: Molecular Dynamics Simulations Ibrahim Abou Hamad, Mark Novotny Large-scale molecular dynamics simulations of the anode half-cell of a lithium-ion battery are presented. The model system is composed of an anode represented by a stack of graphite sheets, an electrolyte of ethylene carbonate and propylene carbonate molecules, and lithium and hexafluorophosphate ions. The simulations are done in the NVT ensemble and at room temperature. One charging scheme explored is normal charging in which intercalation is enhanced by electric charges on the graphitic sheets. The second charging mechanism has an external applied oscillatory electric field of amplitude A and frequency f. The simulations were performed on 2.6 GHz Opteron processors, using 160 processors at a time. Our simulation results show an improvement in the intercalation time of the lithium ions for the second charging mechanism. The dependence of the intercalation time on A and f will be discussed. [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q39.00003: Aging processes in reversible diffusion-limited reactions Vlad Elgart, Michel Pleimling Reversible diffusion-limited reactions display anomalous (i.e. slow) dynamics characterized by a power-law relaxation toward stationarity. In contrast to previous studies that focused on the time-dependence of this relaxation, we study here the nonequilibrium behavior of various simple reversible reaction-diffusion models in the aging regime. Starting from the exact Langevin equations describing these models, we derive expressions for two-time autocorrelation and autoresponse functions and obtain a simple aging behavior for these quantities. The autoresponse function is thereby found to depend on the specific nature of the chosen perturbation of the system. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q39.00004: Lyapunov modes in coupled map lattices Guenter Radons, Hongliu Yang Hydrodynamic Lyapunov modes, which have recently been observed in many extended systems with translational symmetry, such as hard sphere systems, dynamic XY-models, or Lennard-Jones fluids, are nowadays regarded as fundamental objects connecting Nonlinear Dynamics and Statistical Physics. A solution to one of the puzzles, the appearance of good and of ``vague'' modes, is presented here for the model system of coupled map lattices: The structural properties of these modes are related to the phase space geometry, especially the angles between Oseledec subspaces, and to fluctuations of local Lyapunov exponents. The numerical calculation of these quantities is achieved with the new algorithm proposed in [1]. In this context we report also on the possible appearance of branches in the Lyapunov spectra of inhomogeneous systems [2], similar to acoustic and optical branches for phonons. \newline [1] F. Ginelli et al., PRL 99, 130601 (2007) \newline [2] H. Yang and G. Radons, PRL 99, 164101 (2007) [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q39.00005: Simulation of nonlinear pattern formation dynamics in photoinduced structure change Kunio Ishida, Keiichiro Nasu We study the nonlinear dynamics of pattern formation triggered by injection of photoexcited states. In order to describe the nonadiabatic transition during the relaxation process, we employ a model of localized electrons coupled with a fully quantized phonon mode, and the time-dependent Schr\"odinger equation for the model is numerically solved. We found that the photoinduced nucleation process is switched on only when certain amount of excitation energy is supplied in a narrow part of the system, i.e., there exists a smallest cluster of excited molecules which makes the nucleation possible. As a result, the portion of the cooperatively converted molecules is nonlinearly dependent on the photoexcitation strength, which has been observed in various materials. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q39.00006: A study of some non-equilibrium driven models and their contribution to the understanding of molecular motors Irina Mazilu, Joshua Gonzalez From the point of view of a physicist, a bio-molecular motor represents an interesting non-equilibrium system and it is directly amenable to an analysis using standard methods of non-equilibrium statistical physics. We conduct a rigorous Monte Carlo study of three different driven lattice gas models that retain the basic behavior of three types of cytoskeletal molecular motors. Our models incorporate novel features such as realistic dynamics rules and complex motor-motor interactions. We are interested to have a deeper understanding of how various parameters influence the macroscopic behavior of these systems, what is the density profile and if the system undergoes a phase transition. On the analytical front, we computed the steady-state probability distributions exactly for the one of the models using the matrix method that was established in 1993 by B. Derrida et al. We also explored the possibilities offered by the ``Bethe ansatz'' method by mapping some well studied spin models into asymmetric simple exclusion models (already analyzed using computer simulations), and to use the results obtained for the spin models in finding an exact solution for our problem. We have exhaustive computational studies of the kinesin and dynein molecular motor models that prove to be very useful in checking our analytical work. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q39.00007: Controlling surface morphologies by time-delayed feedback Beate Schmittmann, Michael Block, Eckehard Schoell We propose a new method to control the roughness of a growing surface, via a time-delayed feedback scheme. The method is very general and can be applied to a wide range of non-equilibrium growth phenomena, from solid-state epitaxy to tumor growth. Possible experimental realizations are suggested. As an illustration, we consider the Kardar-Parisi-Zhang equation in 1+1 dimensions and show that the effective growth exponent of the surface width can be stabilized at any desired value in the interval [0.25,0.33], for a significant length of time. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q39.00008: Schwinger-Keldysh Formalism for Non-Hermitian Quantum Problems: A Case Study on Non-Equilibrium Steady State Transport Paata Kakashvili, C.J. Bolech Non-unitary quantum mechanics has been used in the past to study irreversibility, dissipation and decay in a variety of physical systems. In this presentation, we propose a general scheme to deal with systems governed by non-Hermitian Hamiltonians. We argue that the Schwinger-Keldysh formalism gives a natural description for those problems. To be concrete, we study a simple model inspired by mesoscopic physics --an asymmetric junction (relevant to spin-valve devices). The system is governed by a non-Hermitian Hamiltonian which captures essential aspects of irreversibility. Calculated steady state transport properties show behavior which is anticipated on physical grounds. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q39.00009: ABSTRACT WITHDRAWN |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q39.00010: Caliber approach for non-equilibrium systems with a small number of states Jeremy Schmit, Kingshuk Ghosh, Ken Dill We present a theory for the dynamics of systems with a small number of states based on E.T. Jaynes' principle of Maximum Caliber. We construct the full dynamical partition function using a transfer matrix formalism with the transition rates as input parameters. Using this partition function, we are able to calculate all moments of dynamical quantities, and thus are able to predict fluctuations around the average behavior. We compare our results to single molecule and microfluidics experiments and contrast our results to the predictions of Minimum Entropy Production. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q39.00011: Transition rates for a Blume-Capel model coupled to a phonon bath Kyungwha Park In nanoscale systems ranging from semiconductor quantum dots to arrays of magnetic nanoparticles or nanoscale magnetic molecules, dynamic properties play a crucial role in understanding the underlying physics and in designing systems of interest for applications. Dynamic properties are highly sensitive to transition rates used, so it is crucial to use a physically correct stochastic dynamic to examine dynamic properties. However, spin Hamiltonians do not provide generic dynamics, so a dynamic should be determined from microscopic Hamiltonians. As the first step towards deriving a realistic spin dynamic of nanoscale systems, we consider the ferromagnetic S=1 Blume-Capel model on a square lattice in which each spin is weakly coupled to a d-dimensional phonon bath, and derive transition rates from a spin-phonon coupling Hamiltonian. Based on the derived transition rates, dynamical properties such as metastability and nucleation are studied in the low-temperature limit using kinetic Monte Carlo simulations. The properties obtained from the phonon-assisted transition rates are compared with those from the Glauber transition rate. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q39.00012: Statistical mechanics far from equilibrium: prediction and test for a sheared system A. Baule, R. M. L. Evans, R. A. Simha, P. D. Olmsted Beginning from a description of the forces of interaction between microscopic particles in motion, equilibrium statistical mechanics can predict both the statistical properties of their resulting configurations and the properties of the fluid (or other material) that they constitute. Despite the existence of statistical steady states of complex fluids under continuous shear flow, with intriguing similarities to equilibrium phase behaviour, a similarly complete statistical solution has hitherto been unobtainable away from thermodynamic equilibrium. Instead, theorists have had to resort to artificial models with simple dynamics (e.g. some invented set of microscopic transition rates) or, alternatively, to use near-equilibrium approximations. We report the first complete statistical treatment of a collection of particles interacting via Newtonian forces in the presence of continuous boundary-driven flow, arbitrarily far from equilibrium [1]. Our investigation is based on a non-equilibrium counterpart to detailed balance [2] which leads to a set of simple constraints for the driven transition rates in our model system. We have tested the predictions in simulations, by numerically solving and time-stepping the force-balance equations. [1] R. M. L. Evans, R. A. Simha, A. Baule and P. D. Olmsted, to be submitted. [2] R. M.L. Evans, Phys. Rev. Lett. 92, 150601 (2004). [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 2:15PM |
Q39.00013: Dynamic phase transitions in model glass formers Invited Speaker: Glassy dynamics is identified through a series of signatures, such as aging, slow relaxation and the presence of dynamical heterogeneities. Basing our considerations on kinetically constrained glass formers, we argue that these phenomena are the byproduct of an intrinsically dynamic phase transition. The latter can be unravelled by performing, in the spirit of Ruelle, a Gibbs-like statistical mechanics over the set of time realizations of the systems' evolution, rather than over the conventional set of phase space configurations. [Preview Abstract] |
Session Q40: Metals: Nanoparticles, Compounds and Thermodynamics
Sponsoring Units: DCMPChair: William H. Butler, University of Alabama
Room: Morial Convention Center 232
Wednesday, March 12, 2008 11:15AM - 11:27AM |
Q40.00001: Sintering Behavior of Metallic Nanoparticles Martin Fendrich, Ralf Meyer, Ruslan Zinetullin, Dietrich E. Wolf, Peter Entel Novel techological applications call increasingly for the controlled production of nanoparticles with well-defined physical properties. An important subject in this field is the sintering of agglomerated particles. We employ two different computer-simulation techniques to simulate the sintering of metallic nanoparticles. Molecular-dynamics simulations are used to study the early stage ($t<\,\approx$100\,ns) of the sintering of two Ni nanoparticles with a size of about 4\,nm. These simulations make it possible to observe important metallurgical details, like the role of (partial) dislocations in the process of the alignment of the crystal lattices. The data of the molecular-dynamics simulations are then used to calibrate the time-scale of kinetic Monte-Carlo simulations which can follow the sintering process over a much longer time-scale. A special technique is used in these simulations which makes it possible to take the misaligned crystal lattices into account. [Preview Abstract] |
Wednesday, March 12, 2008 11:27AM - 11:39AM |
Q40.00002: Molecular Dynamics Study of Phase Transitions of Transition Metal Alloy Nanoparticles Lijun Meng, Kaiwang Zhang, Lizhong Sui, Chao Tang, Huaping Xiao, G. Malcolm Stocks, Jianxin Zhong We have investigated structures of FeCo, FeNi and CoNi alloy nanoparticles using molecular dynamics simulations based on generalized embedded-atom potentials. The pair correlation function (PCF), the Honeycutt and Andersen index (HA index), and bond-order analysis techniques were employed for characterization of the atomic structures of the nanoparticles. Structural transitions in alloy nanoparticles in the size range of 300 to 800 atoms were identified through continuous variation of the compositions. We found that a FeCo nanoparticle exhibits a phase transition from a BCC structure to an icosahedral structure as the cobalt composition is larger than 60{\%}. FeNi nanoparticles possess a BCC or an icosahedral phase if nickel is smaller than 30{\%} or higher than 70{\%}, respectively. In the range of 30{\%}-70{\%} nickel, a FeNi nanoparticle shows features of both BCC and FCC phases. However, a CoNi nanoparticle always has an icosahedral structure in the whole composition range of Ni. [Preview Abstract] |
Wednesday, March 12, 2008 11:39AM - 11:51AM |
Q40.00003: Computer simulation of nanoparticle aggregate fracture Brian Henz, Takumi Hawa, Michael Zachariah Nanoparticle aggregates have been found to possess unique mechanical properties. Aggregates of metal nanoparticles can be strained up to 100{\%} before failure, and even typically brittle materials are observed to have a ductile failure mode. In this effort two materials; namely silver and silicon, were chosen to represent ductile and brittle materials, respectively. Aggregates with 2 to 10 particles were simulated using the molecular dynamics (MD) algorithm to determine the stress-strain behavior of the aggregate. By comparing MD results with the AFM experiments of two sintered nanoparticles we have reaffirmed the observation that even brittle materials may behave as ductile materials at the nanoscale. [Preview Abstract] |
Wednesday, March 12, 2008 11:51AM - 12:03PM |
Q40.00004: Preparation and Characterization of Chemically Prepared Fe-Ag Nanocomposites Thomas Ekiert, Gerald Poirier, Karl Unruh Fe-Ag nanocomposites have been prepared by a transmetallation reaction in which metallic Fe nanoparticles are used to reduce an aqueous solution of Ag$^{+}$ ions. As the reaction proceeds, the Fe nanoparticles fragment into smaller particles and are reduced in size and metallic Ag nanoparticles are formed. The evolution in the structure and composition of the reaction products has been studied as a function of the time and the solution temperature by scanning and transmission electron microscopy, energy dispersive x-ray spectroscopy, and x-ray diffraction measurements. These measurements indicate that nearly all of the available Ag$^{+}$ ions are reduced to metallic Ag within 5 minutes at solution temperatures between 5 \r{ }C and 35 \r{ }C. However, at higher solution temperatures the fragments of the Fe nanoparticles very quickly oxidize if the reaction is not carried out under anaerobic conditions. The magnetic properties of the Fe-Ag nanocomposites have also been studied by vibrating sample magnetometry. These measurements show a corresponding decrease in the saturation moment of those Fe-Ag nanocomposites not prepared under anaerobic conditions, with the majority of the change occurring within the first five minutes of exposure to the Ag$^{+}$ solution. [Preview Abstract] |
Wednesday, March 12, 2008 12:03PM - 12:15PM |
Q40.00005: Creation of low-energy twin lamellae for thermal stability in nanostructured materials Christopher Saldana, Tejas Murthy, Ravi Shankar, Srinivasan Chandrasekar, Eric Stach Intrinsic thermal instability of nanostructured metals have limited the applicability of these high-strength material systems. A novel stabilization route was discovered in these fine-grained systems when a high-density twin nano-lamella was introduced amongst nano-grain boundaries through SPD at cryogenic temperatures. The stabilization in such a composite microstructure was traced to the peculiar kinematic behavior of the twin-grain boundary triple junction. Copper was chosen as model material and deformed under cryogenic conditions using machining with varying deformation rates. The microstructure was investigated through HREM as a function of time and temperature. At small deformation rates, the SPD at cryogenic temperatures resulted in the creation of a nanostructured material with an unstable microstructure that coarsened even at room temperatures. At higher deformation rates under the same conditions, distribution of twin lamellae resulted in a thermally stable nanostructured material. [Preview Abstract] |
Wednesday, March 12, 2008 12:15PM - 12:27PM |
Q40.00006: Electron-Phonon Interaction and High-Temperature Thermodynamics in Vanadium Alloys and Compounds Olivier Delaire, Max Kresch, Matthew Lucas, Jorge Munoz, Jiao Lin, Brent Fultz Inelastic neutron scattering was used to measure the phonon densities of states (DOS) for pure V and V-6\%X solid solutions, and for the A15 compounds V3Si, V3Ge and V3Co, at temperatures from 10$\,$K to 1300$\,$K. Pure V and the A15 superconductors V3Si and V3Ge exhibit an anomalous anharmonic stiffening of phonons with increasing temperature up to 1000$\,$K. In V, this anharmonicity is suppressed by Co and Pt, but not by iso-electronic Nb solutes. Non-superconducting V3Co exhibits a normal quasi-harmonic softening. The electronic density of states was calculated from first-principles DFT methods for all alloys and compounds. The materials whose phonons behave anomalously also exhibit sharp peaks below the Fermi energy in their electronic DOS. The phonon-enhanced thermal smearing of these sharp features results in reduced screening of nuclear motions and stiffer phonons. These results show that the EPI can influence the phonon thermodynamics at temperatures up to 1000$\,$K. [Preview Abstract] |
Wednesday, March 12, 2008 12:27PM - 12:39PM |
Q40.00007: Remarkably large field dependences of the thermodynamic and transport properties of PtSn$_{4}$ P.C. Canfield, S.L. Bud'ko, E.D. Mun, H. Ko, G.D. Samolyuk PtSn$_{4}$ is a known, binary, intermetallic compound that forms as a result of a deeply paritectic reaction. It's reported to have an orthorhombic crystal structure with lattice parameters a = 6.42, b = 11.4, c = 6.39 A. Exceptionally low residual resistivity single crystals of PtSn$_{4}$ have been grown out of excess Sn (with RRR values larger than 1000) and a detailed study of their field dependent properties have been made. The highlights of our results can be summarized as follows: (a) PtSn$_{4}$ manifests a huge, low temperature magnetoresistance of 10$^{5}$ {\%}, for an applied field of 5 T, that rises to 10$^{6}$ {\%} for 14 T; (b) PtSn4 manifests dramatic and clearly resolved oscillations in the magnetization that, for fields below 7T, can be clearly resolved for temperatures as high as 20 K; (c) PtSn$_{4}$ manifests dramatic and clear oscillations in electrical resistivity, that for fields below 14 T, can be clearly resolved for temperatures as high as 10 K. [Preview Abstract] |
Wednesday, March 12, 2008 12:39PM - 12:51PM |
Q40.00008: Scanning Tunneling Microscopy Atomic Resolution of Uranium Compound Marilyn Hawley, Shao-Ping Chen, Phillip Van Stockum Room temperature ultra-high vacuum scanning tunneling microscopy (STM) atomic resolution imaging has been achieved for the first time on a layered uranium compound, uranium antimony two. High quality single crystals were cleaved in situ then imaged by STM using PtIr tips. Atomic resolution images revealed an in-plane square lattice with an uranium-uranium interatomic spacing consistent with theoretical predictions for the lowest energy cleavage plane. The STM images revealed a number of, as yet, unexplained features suggestive of missing atoms and single atom wide rows of atoms aligned along the two a-lattice parameter directions, which will be discussed in this talk. [Preview Abstract] |
Wednesday, March 12, 2008 12:51PM - 1:03PM |
Q40.00009: Ab initio thermodynamics of LaB$_{6}$ and CeB$_{6}$ Tanju Gurel, Resul Eryigit We have investigated the phonon and thermodynamical properties of rare-earth hexaborides RB$_{6}$ (R=La and Ce) on the basis of first-principles pseudopotential plane wave method together with the quasiharmonic phonon calculations. The phonon dispersion relations which are calculated in the linear response framework and the temperature dependent lattice constant, bulk modulus and the volume expansion coefficients which are calculated in the quasiharmonic approximation are in good agreement with the experimental data. We calculate the low temperature constant volume specific heat due to phonon and electronic degrees of freedom and extract the Kondo contribution to specific heat of CeB$_{6}$. [Preview Abstract] |
Wednesday, March 12, 2008 1:03PM - 1:15PM |
Q40.00010: Structure of Boron Carbide: Where's the Carbon? David Marx, Gerald Seidler, Timothy Fister, Kenneth Nagle, Carlo Segre Although the structure of the boron carbide series, B$_{12-x}$C$_{x}$ with 0.06 $\le \quad x \quad \le $ 1.7, has been studied since the 1940s, the location of the carbon atoms has not been adequately determined. The recent development of the lower energy resolution inelastic x-ray scattering (LERIX) spectrometer on the PNC-CAT beamline at the Advanced Photon Source at Argonne National Lab has enabled differentiation of the boron and carbon absorption edge data for the various crystallographic sites. The structure (R-3m) consists of twelve-atom icosahedra and three-atom chains. Boron carbide may have a maximum of three carbon atoms, which may be located on the two end of chain sites and in one of two inequivalent sites on the icosahedra. At least one carbon atom must be present in the structure for it to be stable. In this presentation, structural results from non-resonant x-ray scattering for seven samples, ranging from B$_{4}$C to B$_{10.1}$C will be presented. [Preview Abstract] |
Wednesday, March 12, 2008 1:15PM - 1:27PM |
Q40.00011: Electronic structure and properties of lanthanum Lane Nixon, Dimitrios Papaconstantopoulos The total energy and electronic structure of lanthanum have been calculated in the $\it bcc$, $\it fcc$, $\it hcp$ and $\it dhcp$ structures for pressures up to 50 GPa. The full potential linearized-augmented-planewave method was used with both the local-density and general-gradient approximations. The correct phase ordering has been found, with lattice parameters and bulk moduli in good agreement with experimental data. The GGA method shows excellent agreement overall while the LDA results show larger discrepancies. The calculated strain energies for the $\it fcc$ and $\it bcc$ structures demonstrate the respective stable and unstable configurations at ambient conditions. The calculated superconductivity properties under pressure for the $\it fcc$ structure are also found to agree well with measurements. Both LDA and GGA, with minor differences, reproduce well the experimental results for $T_c$. [Preview Abstract] |
Wednesday, March 12, 2008 1:27PM - 1:39PM |
Q40.00012: High-Level Correlated Approach to the Jellium Surface Energy, Without Uniform-Electron-Gas Input Lucian Constantin We resolve the long-standing controversy over the surface energy of simple metals: Density functional methods that require uniform-electron-gas input agree with each other at many levels of sophistication, but not with high-level correlated calculations like Fermi Hypernetted Chain and Diffusion Monte Carlo (DMC) that predict the uniform-gas correlation energy. Here we apply a very high-level correlated approach, the inhomogeneous Singwi-Tosi-Land-Sj\"olander (ISTLS) method, and find that the density functionals are indeed reliable (because the surface energy is ``bulk-like''). ISTLS values are close to recently-revised DMC values. Our work also vindicates the previously-disputed use of uniform-gas-based nonlocal kernels in time-dependent density functional theory. [Preview Abstract] |
Wednesday, March 12, 2008 1:39PM - 1:51PM |
Q40.00013: Magnetic, thermodynamic and transport properties of GdFe$_{2}$(Al$_{x}$Zn$_{1-x})_{20}$ M. Lampe, N. Ni, S. Jia, G.D. Samolyuk, A.S. Sefat, S.L. Bud'ko, P.C. Canfield The unusual physical properties of the dilute, rare-earth-bearing, intermetallic compound GdFe$_{2}$Zn$_{20}$ have been explained as being the result of the Gd$^{3+}$ moment being embedded in a nearly ferromagnetic Fermi liquid. To understand this in detail, single crystals of the pseudo ternary series GdFe$_{2}$(Al$_{x}$Zn$_{1-x})_{20}$(x $\le $0.07) and YFe$_{2}$(Al$_{x}$Zn$_{1-x})_{20}^{ }$(x $\le $0.05) were grown out of Zn-rich solution. Magnetization, heat capacity and resistivity measurements on these compounds reveal a decrease of T$_{c}$ from 86 K (x = 0) to 4 K (x = 0.07) for GdFe$_{2}$(Al$_{x}$Zn$_{1-x})_{20}$ and a decrease of the Stoner enhancement factor, Z, from 0.88 (x = 0) to 0.35 (x = 0.05) for YFe$_{2}$(Al$_{x}$Zn$_{1-x})_{20}$. Rigid band approximation and TB-LMTO-ASA calculation are used to explain this trend. These results, combined with earlier studies of the substitution of Co for Fe clearly indicate the importance of band filling and the applicability of even a simple rigid band model, to these compounds. [Preview Abstract] |
Wednesday, March 12, 2008 1:51PM - 2:03PM |
Q40.00014: Magnetic properties of RT$_2$Al$_{20}$ (R = Gd, Eu and Yb, T = Ti, V and Cr) J. Frederick, Shuang Jia, S.L. Bud'ko, P.C. Canfield Isostructural RT$_2$Al$_{20}$ series of compounds contain less than 5 at. \% of rare earth ions. Thermodynamic and transport measurements were performed on solution-grown, single crystals: both R = Gd and Eu series manifest clear local moment behavior with magnetic ordering below 10 K. These low transition temperatures are consistent with the dilute nature of the rare earth ions. Unlike the RT$_2$Zn$_{20}$ series, we have not found enhanced magnetic order or near-Stoner like behavior for any member of the RT$_2$Al$_{20}$ family of compounds. The R = Yb members, however, all manifest weak Pauli paramagnetism, consistent with a divalent state for the Yb ions. [Preview Abstract] |
Follow Us |
Engage
Become an APS Member |
My APS
Renew Membership |
Information for |
About APSThe American Physical Society (APS) is a non-profit membership organization working to advance the knowledge of physics. |
© 2024 American Physical Society
| All rights reserved | Terms of Use
| Contact Us
Headquarters
1 Physics Ellipse, College Park, MD 20740-3844
(301) 209-3200
Editorial Office
100 Motor Pkwy, Suite 110, Hauppauge, NY 11788
(631) 591-4000
Office of Public Affairs
529 14th St NW, Suite 1050, Washington, D.C. 20045-2001
(202) 662-8700