Bulletin of the American Physical Society
APS March Meeting 2014
Volume 59, Number 1
Monday–Friday, March 3–7, 2014; Denver, Colorado
Session P1: Poster Session III (11:15 - 14:30 - DPOLY, DCP, DBIO, GSNP)
Room: Exhibit Hall F
|
P1.00001: CHEMICAL PHYSICS |
|
P1.00002: Evidence for Sublimation/Recondensation Controlling Ignition Time in HMX Thermal Explosions Laura Smilowitz, Bryan Henson Time to ignition for HMX based secondary high explosive formulations can be plotted linearly on a simple Arrhenius plot. The time to ignition is believed to be controlled by specific chemical decomposition steps with the rate limiting step being decomposition in the solid, accompanied by significant exothermicity. Subsequent exothermic chemistry involving gas phase products is also important. We have evidence for the diffusion of intact HMX molecules within hot HMX formulations. The sublimation and recondensation of HMX molecules carries significant enthalpy around a system, comparable to the enthalpy of reaction in the solid and gas phase, and can impact both the time and location of ignition. In this talk, we will present evidence that HMX sublimation and recondensation can control the time to ignition in a PBX 9501 thermal explosion. [Preview Abstract] |
|
P1.00003: Competition enhances stochasticity in biochemical reactions Taylor Firman, Kingshuk Ghosh We investigate the complex interplay between competition and stochasticity using coupled complexation reactions, (i) $A + B \leftrightarrow AB$ and (ii) $A + C \leftrightarrow AC$, as the model system, a reaction scheme common in biology. Within the master equation formalism, we compute the exact distribution of the number of complexes to analyze equilibrium fluctuations of several observables, which reveals that the presence of competition from one reaction can enhance fluctuation in the other. We provide quantitative estimates of this enhancement for different combinations of rate constants and reactant molecule quantities typical to biology. We notice that fluctuations can be significant even when two of the reactant molecules (say $B$ and $C$) are infinite in number, maintaining a fixed stoichiometry, while the other reactant ($A$) is finite. This is purely due to the coupling mediated via resource sharing and is in stark contrast to the single reaction scenario, where large numbers of one component ensure zero fluctuation. These observations indicate that averages can be a poor representation of the system, hence analysis that is purely based on averages such as mass action laws can be potentially misleading in such noisy biological systems. [Preview Abstract] |
|
P1.00004: Adsorption, desorption, and diffusion of atomic hydrogen on graphene Majid Karimi, Justin Petucci, Carl LeBlond, Gianfranco Vidali Using a modified AIREBO bond-order potential for hydrocarbons, adsorption potential, desorption potential, and diffusion barriers of atomic hydrogen on graphene are obtained and compared with the corresponding results from the first-principles. The formation of molecular hydrogen through Eley-Rideal and Hot-Atom mechanisms is investigated. The massively parallel molecular dynamics code lammps and nudged elastic band NEB method are employed to do these calculations [Preview Abstract] |
|
P1.00005: Photooxidation Dynamics of Model Ketones and Alcohols on TiO$_2$(110) Matthew Kershis, Daniel Wilson, Michael White The photooxidation dynamics of model ketones and alcohols on TiO$_2$(110) were studied using pump-probe laser spectroscopy under UHV conditions. Butanone photooxidation was chosen as a model reaction to demonstrate a fast ion imaging system using pixel imaging mass spectrometry (PImMS). Butanone photooxidation proceeds via ejection of both an ethyl and methyl radical. In the former case, multiple species are observed in product mass spectra which previous studies have shown are the result of ethyl radical fragmentation due to dissociative ionization. Results obtained using this imaging technique agree with previous work and demonstrate the utility of this technique in elucidating fundamental surface photochemical mechanisms. Results from the study of ethanol and isopropanol photooxidation on this surface will also be presented. These results show that methyl radicals are ejected during the photooxidation of these molecules. Comparison of methyl radical final state distributions measured here with those obtained for acetaldehyde and acetone photooxidation indicate that methyl radicals are produced as secondary photoproducts following the photooxidation of the primary aldehyde-ketone photoproducts. [Preview Abstract] |
|
P1.00006: Exictation of Nanoparticle Plasmon Vortices with an Electron Beam Tyler Harvey, Jordan Chess, Jordan Pierce, Peter Ercius, Benjamin McMorran We observe the decay of an electron vortex beam upon interaction with a gold nanoparticle. Transmission electron micrographs and supporting electron energy loss spectra show scattering of an electrom beam with an incoming orbital angular momentum $L_z = 1\hbar$ and an outgoing $L_z = 0\hbar$ from the nanoparticle at surface plasmon energies. By conservation of angular momentum, we conclude that we have observed excitation of surface plasmon vortices by an electron beam. Electron vortex probes therefore present a new opportunity for orbital angular momentum-selected excitation of plasmon modes with high spatial resolution. [Preview Abstract] |
|
P1.00007: Origin and application of the ``lattice distortion energy'' spent upon chemisorption Marisol Alcantara Ortigoza, Sergey Stolbov We reveal the origin of the ``inertness'' of gold toward atomic and molecular oxidizing agents. Contrasting with the fact that Au forms very stable alloys and can certainly be activated under certain circumstances, such nobleness has long been attached to the weakness of the adsobate-metal interaction. Not surprisingly, e.g., from all transition-metal surfaces, Au stands for the weak binding energy of atomic O. We have shown that the origin of gold's unique corrosion resistance is the combination of several factors but, contrary to what one would expect from its ``nobleness,'' is mainly caused by the unusually large perturbation on Au-Au bonds upon O adsorption that reduces the binding energy by what we call the ``lattice distortion energy.'' In this work, we shall show that the ``lattice distortion energy'' is particularly high for Au because of the relatively deep position and broad width of its d-band. The latter unique feature allow for a strong interaction between Au d-states and oxygen p-states, which in turn strongly weaken Au-Au bonds. The ``lattice distortion energy'' is always present but has been neglected altogether in understanding or designing catalysts. We thus propose to utilize this new degree of freedom for this purpose. [Preview Abstract] |
|
P1.00008: The computational study of amino group impregnation on the zeolite surface toward the behaviors of adsorption and diffusion Kiwoong Kim, Sooho Lee, Kwang Soon Lee, Won Bo Lee The molecular dynamic and Grand Canonical Monte Carlo simulation study were conducted to investigate the adsorption and diffusion behaviors of mixture of CO$_{2}$ and N$_{2}$. Pure silicalite structures of zeolites TON, AFI, and LTL were selected as the host materials to be evaluated in this study. The effect of surface modification of TON, realized by impregnating the amino functional group on TON surface, on the adsorption and the diffusion were analyzed and compared with the normal TON structure. The results show that, in the adsorption behaviors, the modified TON adsorbs more CO$_{2}$ than the normal TON structure, however, at high pressure regions, CO$_{2}$ uptake is lower than the normal TON due to reductions of pore volume. This effect was quantitatively analyzed for the various amino functional group; methyl-, ethylamine, and ammonia. In the diffusion behaviors, the mixture in the modified TON has a lower diffusivity than the mixture in the normal TON due to additional attractive interaction between the amino group and mixture. In addition, the single file mobilities as well as the self-diffusion coefficients were employed to describe the observed diffusion behaviors. [Preview Abstract] |
|
P1.00009: Adsorption of Binary Gaseous Mixtures of Argon and Methane on Exfoliated Graphite Brice Russell, Aldo Migone, Jared Burde, Maria Mercedes Calbi Exfoliated graphite was used as substrate in the adsorption of a 50{\%} -50{\%} mixture of argon and methane. Adsorption measurements were conducted at different temperatures and initial pressures both for the mixtures and for the pure gases. The time to reach equilibrium for a single dose for the 50{\%}-50{\%} mixture was compared to those for each individual species, at the same temperature. Mixture adsorption is a more complex process than single component adsorption and requires a much longer time to reach equilibrium. Information on the evolution of the composition of the mixture was obtained using a quadrupole mass spectrometer. Evidence for an initially higher rate of adsorption of the weaker binding species (argon) was found. However, at equilibrium there was more methane adsorbed on the graphite sample. These results are in line with theoretical predictions made by Burde and Calbi. This work was supported by the NSF through grant DMR-1006428. [Preview Abstract] |
|
P1.00010: Molecular oxygen adsorption on the metal-organic framework ZIF-8 Aldo Migone, Brice Russell, Carl Ziegler We have measured adsorption isotherms for molecular oxygen on a 0.1893 g sample of the metal-organic framework material ZIF-8. Isotherms were measured at three temperatures between 60 and 90 K (above oxygen's bulk triple point). ZIF-8 has been reported to undergo a structural transition as a function of the amount of gas sorbed in it. This structural transition produces a substep in the adsorption isotherm data (more gas can adsorb after the transformation). We have followed this substep as a function of temperature. Our results suggest that there is an upper limit for the temperature at which the structural transformation in ZIF-8 can occur as a result of the adsorption of molecular oxygen. [Preview Abstract] |
|
P1.00011: Controlled catalytic properties of iron nanoparticles on doped graphene: A first-principles study Sol Kim, Seung-Hoon Jhi Iron is an important catalyst in Fischer-Tropsch synthesis (FTS). Recently carbon-material- supported iron nanoparticles were reported as a good catalyst better than bulk iron surface. Here we employed B- and N-doped graphene as supporting materials for iron nanoparticles and studied the change in d-band center of iron nanoparticles which is a key factor in gas adsorption. We then investigated the molecular adsorption of H$_{2}$ and CO on the nanoparticles using first-principle calculations. It was found that B doping enhances the binding energy of the Fe$_{13}$ on the graphene, which lowers the d-band center of Fe$_{13}$, but N doping reduces it. Difference in the work-function and subsequently in the charge transfer causes such behavior in the binding energies. We showed that the adsorption of H$_{2}$ and CO on the Fe-graphene substrate is strongly correlated with the d-band center modulated by the doping concentration. We also found that the stability of Fe nanoparticle was enhanced by graphene doping. [Preview Abstract] |
|
P1.00012: Proton diffusion at the wet yttria stabilized zirconia surface: an ab-initio study Clotilde Cucinotta, Stefano Sanvito, Marco Bernasconi It is known that in humid environment yttria stabilized zirconia (YSZ) powders display protonic conduction in a thin layer of water adsorbed on the oxide. The conductivity depends strongly on temperature which affects the thickness of the water layers and the presence of surface defects. Different models have been proposed to explain surface conductivity on the wet YSZ surface but the microscopic details of proton diffusion have not been fully elucidated. On the basis of ab-initio simulations, we here address the study of the structure of the wet YSZ surface and of the possible mechanisms for protonic diffusion at the YSZ surface at different temperatures. We calculate the enthalpies of defects formation and migration and compare protonic surface diffusion in the water layers with the outcome of conductibility and thermogravimetry measurements. This study is of relevance for electrode reactions of SOFCs but it is also of more general interest as the same mechanisms of proton conductivity in adsorbed water layers might take place at the wet surface of other solid oxides. [Preview Abstract] |
|
P1.00013: On the coverage dependence of Arrhenius parameters in thermal desorption of interacting adsorbates Nayeli Zuniga-Hansen, Leonardo E. Silbert, M. Mercedes Calbi In temperature programmed desorption (TPD) the ``compensation effect'' is a linear relationship between the activation energy, $E_a$, and the preexponential factor, $\nu_n$, of the Arrhenius equation. From the Arrhenius plot $\ln{\frac{-\dot\theta}{\theta}}$ vs. $\frac{1}{T}$, we can extract the activation energy and the preexponential factor to test the validity of linearity. A linear relationship has been demonstrated to be valid \textit{when the kinetic parameters are independent of the surface coverage}. In the presence of adsorbate-adsorbate interactions this analysis fails because the second order effects come into play. The compensation effect arises from the assumption that the second order terms in the derivative of the plot sum to zero. Some authors refer to this as a ``forced'' compensation effect and show that it can yield misleading results. Therefore this effect has not been completely understood. We use kinetic Monte Carlo simulations on ordered and disordered surface configurations to investigate the coverage dependence of the kinetic parameters to verify whether the compensation effect provides reliable information for our system, we do this over a range of binding and interaction energies. [Preview Abstract] |
|
P1.00014: High Temperature Solid$-$Solid Transition in Ammonium Chloride Confined to Nanopores Reza Farasat, Benjamin Yancey, Sergey Vyazovkin Differential scanning calorimetry was employed to measure the temperature and thermal effect of the solid-solid phase transition in ammonium chloride confined to silica matrices with pore sizes ranging from 4 to 30 nm. Ammonium chloride was loaded from aqueous solutions. The concentration and number of loads were varied to control the pore fullness, which was defined as a fraction of the filled volume to the total available volume of the nanopores. Assuming cylindrical pore shape, the pore fullness was used to estimate the height of the layer deposited on the pore walls. Thermogravimetric analysis was employed to evaluate the pore fullness, which was further used to estimate the height of ammonium chloride layer deposited inside the pores. With increasing the layer height, the heat of transition increased toward the bulk value. Relative to the bulk value, the transition temperature measured on heating and on cooling respectively increased and decreased with decreasing the layer height. It was concluded that a strong interaction with the nanoconfining medium may have a larger effect on the behavior of a nanoconfined substance than the effect of nanosize alone. The temperature dependencies of the effective activation energy derived from isoconversional kinetic analysis of DSC data have been parametrized in terms of the Turnbull$-$Fisher model. It was found that the transition in the pores encounters a larger free energy barrier to nucleation. [Preview Abstract] |
|
P1.00015: \textit{In Situ} Investigation of a Pre-ordered Boundary Layer during LiB $_{3}$O$_{5}$ crystal Growth from MoO$_{3}$ Fluxes: Molecular Understanding of Crystallization Di Wang, Deming Zhang, YInchao Yue, Shanshan Liu, Zhanggui Hu, Mu Wang, Guochun Zhang, Shaotang YIn Confocued Raman spectroscopy has been used to \textit{in situ} investigate the crystal-solution interfaces around an as-growing LiB$_{3}$O$_{5}$~crystal from MoO$_{3}$ fluxes. The spectroscopic data reveals the LiB$_{3}$O$_{5}$~crystal growth occurs in a pre-ordered boundary layer, wherein the formation and desolvation of well-ordered lattice-like growth units through a cation-transfer reaction between the solvent and solute. The obtained structural information proves the packing configuration of the solution near the crystal-solution interface is reduced with respect to the bulk one, moreover, suggests a growth mechanism of iB$_{3}$O$_{5}$~crystal from MoO$_{3}$ fluxes at the molecular level As it displays a key role for the crystal growth, the pre-ordered boundary layer can provide new insights into the nature of various growth phenomena such as face-induced well-ordered cluster formation, grown defect formation, solute-solvent interaction and so on. [Preview Abstract] |
|
P1.00016: Effect of Pulse Duration and Excitation Wavelength on the Rate of Dynamic Stokes Shift Arkaprabha Konar, Vadim Lozovoy, Marcos Dantus Solvation of large organic molecules and biomolecules has been widely investigated and understood over the years through various spectroscopic techniques. We have recently studied the nonlinear optical effects of excitation of IR144 in methanol, at room temperature using chirped femtosecond pulses and have found that the characteristic relaxation times between excited and fluorescent states of this system ranges from 20-40~fs. Here we have used a tunable broadband source coupled with a phase and amplitude pulse shaper to investigate the effects of pulse duration and excitation wavelength on the rate of Dynamic Stokes Shift of laser dyes. We take advantage of the relation between chirp in frequency domain and the chirp in time domain to calculate the rate of Dynamic Stokes Shift. The effect of changing the excitation wavelength is even more interesting. Negatively detuned (from absorption maxima) pulses do not induce any change in rate of DSS, however, positively detuned pulses induce an exponential rise in the rate of DSS. These observations give additional experimental background knowledge for deeper understanding of the dynamics of the excited state of large organic molecules in solution. [Preview Abstract] |
|
P1.00017: Conformation excited-state of $o$-phenylenes by DFT method Azusa Muraoka, Koichi Yamashita Conjugated polymers such as polyaromatic molecules with the $\pi $/$\pi $ stacking interaction have recently attracted much interest in the production and the development of the solar cell materials. Polyphenylene nanostructures are now well established in the fundamental class of conjugated polymers. This class can be divided into \textit{para}, \textit{meta} and \textit{ortho }phenylenes. In previously, we have found that the ground-state structures of tetrameric \textit{ortho }phenylenes (4-OP) have helical tightly packed $n$-phenylenes with $\pi $/$\pi $ stacking interactions. In this study, in order to investigate electronic energy transfer in \textit{ortho }phenylenes, we determine the excited-state structures and UV-visible absorption spectra in 4-OP using the density functional theory method. [Preview Abstract] |
|
P1.00018: Dynamics of Methyl Methacrylate Nanoconfined in Silica Sol Glasses Studied by Optical Kerr Effect Spectroscopy Dujuan Meng, Lianjie Xue, George Tamas, Edward Quitevis, Sindee Simon Nanoconfinement has been found to have an effect on polymerization processes [1,2] and on the glass transition temperature Tg [3]. Here we report studies of the nanoconfinement effect on the dynamics of monomers in the pores of silica sol-gel glass. The samples were prepared under a vacuum and studied by using optical Kerr effect (OKE) spectroscopy. The reorientational dynamics of methyl methacrylate (MMA) confined in silica sol-gel glasses with pore diameters of 32, 41, and 82 {\AA} was studied. The decays of the reorientational correlation function C(t) became longer as the pore size decreased. Based on the analysis of the reorientational correlation functions using a two-state model [4], the nanoconfinement effect is due to the interaction of molecules with the surface of the silica-gel glass. In a study of the effect of nanoconfinement in modified silica sol-gel glasses, which were obtained by refluxing the sol-gel glasses in methanol to give hydrophobic pore surfaces, the decay is faster in the modified silica gel glass than in the unmodified sol-gel glass. [Preview Abstract] |
|
P1.00019: Optical Kerr Effect Spectroscopy of a Nonpolar Solute in Dicationic versus Monocationic Ionic Liquids Eshan Gurung, Lianjie Xue, George Tamas, Edward Quitevis A comparison of the intermolecular dynamics of small nonpolar solute molecules in monocationic and dicationic ionic liquids (ILs) was performed using optical heterodyne-detected Raman-induced Kerr effect spectroscopy (OHD-RIKES). The optical Kerr effect (OKE) spectrum of CS$_{2}$ in 1-methyl-3-propylimidazolium bis(trifluoromethane-sulfonyl)amide [C$_{3}$mim][NTf$_{2}$] and 1,6-bis(3-methylimidazolium-1-yl) hexane bis(trifluoromethane-sulfonyl)amide [C$_{6}$(mim)$_{2}$][NTf$_{2}$]$_{2}$ was investigated as a function of concentration at 295 K. An additivity model with components from the subpicosecond dynamics of IL and CS$_{2}$ was used to interpret the OKE spectra of the mixtures. The spectrum of CS$_{2}$ in the two ILs is lower in frequency and narrower than that of neat CS$_{2}$. The spectrum of CS$_{2}$ in the dicationic IL is higher in frequency than in the monocationic IL. This result shows that CS$_{2}$ molecule experiences a stiffer potential in dicationic ILs as compared to monocationic ILs. Higher stiffness in C$_{6}$(mim)$_{2}$][NTf$_{2}$]$_{2}$ might be due to a more ordered arrangement and lower mobility of the alkyl chains linking the imidazolium rings. [Preview Abstract] |
|
P1.00020: Numerical Density-to-Potential Inversions in Time-dependent Density Functional Theory Daniel Jensen, Jean Pierre Inchaustegui, Adam Wasserman Time-dependent Density Functional Theory (TDDFT) is a formally exact method for solving the quantum many-body problem. In Kohn-Sham TDDFT, a fictitious noninteracting system is defined that exactly reproduces the time-dependent density of the interacting system. The potential that determines this noninteracting system (the time-dependent Kohn-Sham potential) has been proven to exist under certain restrictions, but finding the exact Kohn-Sham potential for a given density remains challenging. We show that this ill-posed inverse problem requires some form of regularization to produce realistic Kohn-Sham potentials. We explore various forms of regularization and illustrate how they work on simple one-dimensional model systems. We also show how our method can be applied to problems with both particle-in-a-box and periodic boundary conditions subject to oscillating electric fields. [Preview Abstract] |
|
P1.00021: Smooth exchange-correlation potentials from electron densities Alex P. Gaiduk, Ilya G. Ryabinkin, Viktor N. Staroverov Kohn--Sham potentials reconstructed from electron densities in finite basis sets often contain unphysical oscillations and asymptotic divergences which affect the properties computed with such potentials. We noticed that these artifacts are determined by the basis set in which the density is expanded, and are almost independent of the approximation used for computing the density. Guided by this observation, we developed a simple procedure for improving the shape of reconstructed potentials. Our scheme consists in computing an oscillation profile for a given basis set using a known exchange-correlation potential and subtracting it from the reconstructed potential of interest. Our correction leads to significant improvement of the shape of potentials and properties computed with them. [Preview Abstract] |
|
P1.00022: Ultrafast Charge-Transfer at an Organic Semiconductor / Oxide Interface Oliver Monti, David Racke, Leah Kelly, Philip Schulz, Dennis Nordlund We use photoemission to investigate with complete element specificity the electronic structure and carrier dynamics at a model organic semiconductor / transparent conductive oxide interface. For the prototypical interface of C$_{\mathrm{60}}$ on highly conductive thin ZnO we show that hybrid interface states form in both the ground and excited state manifold. Using resonant photoemission spectroscopy, we are able to observe ultrafast carrier delocalization in bare ZnO, with electrons scattering into bulk states on the time-scale of less than 2 fs. In the presence of C$_{\mathrm{60}}$, hybridization between molecule and oxide and the resulting interface state formation lead to carrier localization and long-lived excited states in the vicinity of the conduction band minimum. Our results demonstrate for the first time the importance of hybrid interface states for carrier dynamics at organic / inorganic heterojunctions. [Preview Abstract] |
|
P1.00023: Theoretical study on the surface complex between TiO2 and hetero-TCNQ Yuhei Shimoda, Ryota Jono, Hiroshi Segawa, Koichi Yamashita Interfacial charge transfer transitions between organic and inorganic materials are expected to be a potential photoinduced charge separation mechanism for photoenergy conversions. Recently, we have reported that the hybrid material formed from TiO$_2$ nanoparticles and an organic electron acceptor, 7,7,8,8-tetracyanoquinodimethane (TCNQ), shows strong interfacial charge transfer absorption in the visible region [1-3]. In the present work, we have investigated the molecular and optical properties of the surface complexes of TiO$_2$ and hetero-TCNQ: furan-TCNQ, thiophene-TCNQ, and selenophene-TCNQ, which are considered to promote light absorption in the near-IR region more efficiently than TiO$_2$-TCNQ. The redox potentials of these hetero-TCNQ are calculated to be lower than that of TCNQ. We calculated these hetero-TCNQ and the surface complexes between those and a TiO$_2$ nano cluster, using the density functional theory (DFT) and time-dependent DFT methods. [1] R. Jono, J. Fujisawa, H. Segawa, and K. Yamashita, J. Phys. Chem. Lett., 2, 1167-1170 (2011). [2] S. Manzhos, R. Jono, K. Yamashita, J. Fujisawa, M. Nagata, and H. Segawa, J. Phys. Chem. C, 115, 21487-21493 (2011). [3] R. Jono, J. Fujisawa, H. Segawa, and K. Yamashita, Phys. Chem. Chem. Phys., 15, 18584-18588 (2013). [Preview Abstract] |
|
P1.00024: A computational study of photo-induced electron transfer rate constants in subphthalocyanine/C$_{60}$ organic photovoltaic materials via Fermi's golden rule Myeong H. Lee, Barry D. Dunietz, Eitan Geva We present a methodology to obtain the photo-induced electron transfer rate constant in organic photovoltaic (OPV) materials within the framework of Fermi's golden rule, using inputs obtained from first-principles electronic structure calculation. Within this approach, the nuclear vibrational modes are treated quantum-mechanically and a short-time approximation is avoided in contrast to the classical Marcus theory where these modes are treated classically within the high-temperature and short-time limits. We demonstrate our methodology on boron-subphthalocyanine-chloride/C$_{60}$ OPV system to determine the rate constants of electron transfer and electron recombination processes upon photo-excitation. We consider two representative donor/acceptor interface configurations to investigate the effect of interface configuration on the charge transfer characteristics of OPV materials. In addition, we determine the time scale of excited states population by employing a master equation after obtaining the rate constants for all accessible electronic transitions. [Preview Abstract] |
|
P1.00025: Water clusters (H$_{2}$O)$_{n}, n=$9-20 in external electric fields: ``Exotic'' O-H stretches as precursors of breakdown Rajeev Pathak Neutral, deformable clusters of water (H$_{2}$O)$_{n}$[$n =$ 9-20] subject to the highest tolerable ``threshold'' external electric fields on the verge of their breakdown exhibit some ``exotic'' O-H stretching modes in their infrared spectra. These normal vibrations, in which only \textit{one or two} O-H stretchings contribute, emerge in the frequency range of 2327-2965 cm$^{-1}$, markedly below the O-H stretching frequencies that commonly occur in the 3200-3600 cm$^{-1}$ region. These exotic modes essentially characterize the high degree of instability in these clusters immediately preceding their breakdown. On the other hand, a few clusters among those endowed with a C$_{n}$ axis of symmetry remain ``robust,'' in that, they exhibit no major structural changes right up to their electric-field thresholds, wherein dominant stretches in the form of \textit{collective} modes appear only beyond 3200 cm$^{-1}$. [Preview Abstract] |
|
P1.00026: Combined Raman spectroscopy and first-principles calculation for essential oil of Lemongrass Rozilaine A.P.G. Faria, N\'agela F.M. Pican\c{c}o, Glad\'is S.D.L. Campo, Jorge L.B. Faria The essential oils have increased food's industry interest by the presence of antioxidant and antimicrobial. Many of them have antimicrobial and antioxidant, antibacterial and antifungal activities. But, due to the concentrations required to be added in the food matrix, the sensory quality of the food is changed. The production and composition of essential oil extracted from plants depend on the plant-environment interactions, the harvest season, phenophase and physiological state of the vegetal. {\it Cymbopogom citratus} (Lemongrass) has a good yield in essential oil with neral (citral A), geranial (citral B) and myrcene, reaching 90\% of the oil composition. In our experimental work, the essential oil of lemongrass was obtained by hydrodistillation in Clevenger apparatus for 4 hours. The compound was further analyzed by Raman scattering in a spectrometer HR 800, with excitation at 633nm, in the range 80-3400 cm$^{-1}$. The spectrum obtained was compared with DFT calculations of molecules of the oil components. Our results show the vibrational signatures of the main functional groups and suggest a simple, but very useful, methodology to quantify the proportions of these components in the oil composition, showing good agreement with Raman data. [Preview Abstract] |
|
P1.00027: Design and building of new spin polarized Positron Annihilation Induced Auger Electron Spectrometer Zheng Hui Lim, Michael Mishler, Prasad Joglekar, Karthik Shastry, Ali Koymen, Suresh Sharma, Alexander Weiss We propose to develop a next generation high flux variable energy spin-polarized position beam facility for materials studies. This new system will have a higher efficiency than our current system, and it will also be the first in the world to combine spin polarization with a time of flight Positron Annihilation induced Auger Electron Spectroscopy (PAES). The spin polarized positrons are electromagnetically guided towards the sample with an axial magnetic field and perpendicular electric fields. These incident positrons get annihilated at the surface of the sample creating two gamma rays and auger electrons via Auger transitions. These signals are useful in characterizing material surface, surface magnetization, and energy sharing in valence band. This new spectrometer, which is currently under construction, will be a next generation positron system. [Preview Abstract] |
|
P1.00028: Sensitivity and Performance of Azole Based Energetic Materials Zijun Yu, Elliot Bernstein Imidazole, pyrazole, 1,2,3-triazole, 1,2,4-triazole, and tetrazole based energetic materials are theoretically investigated by employing density functional theory (DFT). Heats of formation ($\Delta_{f}H^{0}$s) for the studied compounds (298 K) in the gas phase are determined at the B3P86/6-311G (d, p) theory level through isodesmic reactions. The bond dissociation energies (BDEs) corresponding to NO$_{2}$, NH$_{2}$, CH$_{3}$, and Cl removal from carbon or nitrogen positions of the azole ring are also calculated at the B3P86/6-311G (d, p) theory level. The substituent effect of electron-withdrawing (NO$_{2}$, Cl) and electron-donating (NH$_{2}$, CH$_{3})$ groups on the $\Delta_{f}H^{0}$s and BDEs is discussed. Both electron-withdrawing groups and electron-donating groups (except the CH$_{3}$ group) dramatically increase the $\Delta_{f}H^{0}$s of these energetic materials when the substituent is at an N position on the azole ring. For substitution at a C atom on the azole ring, electron-withdrawing and electron-donating groups have different effects on the $\Delta _{f}H^{0}$s for different azole compounds. A correlation is developed for this series of energetics between impact sensitivity $h_{50\% }$ and the defined sensitivity index (SI): based on this empirical relationship and its extrapolation, the impact sensitivities of compounds for which experiments are not available are provided. The promising energetic compounds in each group, which have potentially good energetic performance and low sensitivity, are 1-amino-2,4,5-trinitroimidazole, 1-amino-3,4,5-trinitropyrazole, 1,4-dinitro-1,2,3-triazole, 1,3-dinitro-1,2,4-triazole, 1-nitrotetrazole. [Preview Abstract] |
|
P1.00029: Accuracy of Solvation Free Energy Estimated from Integral Equation Theory for Two-Component System of Lennard-Jones Fluid Tatsuhiko Miyata, Jyoti Thapa Solvation of complex molecules has attracted many researchers. Typically they employ molecular dynamics (MD) simulations to calculate solvation free energy (SFE), whereas there is another route to calculate SFE: i.e., integral equation theory (IET). One of the IETs to calculate SFE for molecular liquid is three-dimensional reference interaction site model (3D-RISM) theory. 3D-RISM can calculate SFE with cheaper computer cost than MD, which is one of the advantages of the theory. Though 3D-RISM significantly improved the description of solvation in comparison with a traditional IET, the accuracy of SFE evaluated from the theory was still unsatisfactory. Our final goal is to develop a new theoretical tool to calculate SFE with satisfactory accuracy and relatively cheap computer cost. As a first step, this study examines how IET is accurate or inaccurate in terms of SFE. For simplicity, we choose Lennard-Jones systems composed of two components (i.e. solute and solvent). In particular, we focus on two approximations used in IET, i.e., hypernetted chain and Kovalenko-Hirata approximations We discuss the accuracy of these approximations by comparing SFEs evaluated from the corresponding approximations with those from MD simulations. [Preview Abstract] |
|
P1.00030: Slip asymmetries and rotational defects in Cyclotrimethylene trinitramine (RDX) Catalin Picu, Anirban Pal, Nithin Mathew In this work we study the motion of dislocations and formation of point defects in the molecular crystal Cyclomethylene trinitramine (RDX) by means of atomistic simulations. We show that slip asymmetries exist in this crystal, i.e. dislocations in given slip system can move easier in one direction than in the other, and this effect is due to the steric hindrance of molecules. The effect can be correlated with the presence of a new type of point defects which are molecules placed in a rotated position relative to the perfect crystal configuration. The stability of these rotational point defects is discussed. [Preview Abstract] |
|
P1.00031: Computing solvent-induced forces in the solvation approach called Semi Explicit Assembly Emiliano Brini, Michelle H. Hummel, Evangelos A. Coutsias, Christopher J. Fennell, Ken A. Dill Many biologically relevant processes (e.g. protein folding) are often too big and slow to be simulated by computer methods that model atomically detailed water. Faster physical models of water are needed. We have developed an approach called \emph{Semi Explicit Assembly} (SEA) [C.J. Fennell, C.W. Kehoe, K.A. Dill, PNAS, 108, 3234 (2011)]. It is physical because it uses pre-simulations of explicit-solvent models, and it is fast because at runtime, we just combine the pre-simulated results in rapid computations. SEA has also now been proven physically accurate in two blind tests called SAMPL. Here, we describe the computation of solvation forces in SEA, so that this solvation procedure can be incorporated into standard molecular dynamics codes. We describe experimental tests. [Preview Abstract] |
|
P1.00032: Second-harmonic generation in boron nitride nanotubes adsorbed with molecular hydrogen Raul Vazquez-Nava, Ramses Salazar-Aparicio, Norberto Arzate, Bernardo Mendoza We present {\it ab initio} calculations for second harmonic response of single wall zigzag pristine and with molecular hydrogen adsorption boron nitride nanotubes. These calculations were performed with density functional theory within the local-density approximation (LDA) and the application of the GW approximation to calculate the band gap GW correction. A length-guage formalism for calculating the nonlinear optical response with the correct implementation of the scissor correction was used to obtain the nonlinear susceptibility $\chi^{(2)}(-2\omega;\omega,\omega)$ of zigzag BN nanotubes. We found that contrary to that reported in the literature, the (5,0) and (9,0) boron nitride nannotubes have a non vanishing SHG response. We also found that SHG is not a suitable thecnique to monitor the physisorption of $H_{2}$ molecules on the external surface of BN nanotubes. [Preview Abstract] |
|
P1.00033: Optical, electronic and electrical properties of nanostructured ZnO: Ge multilayered thin films Abdullah Ceylan, Abdul Rumaiz ZnO: Ge nanocomposite thin films with thickness of about 600 nm were synthesized by sequential r.f. magnetron sputtering followed by ex-situ rapid thermal annealing (RTA). RTA was explicitly chosen to avoid excessive Ge-oxide formation as well as minimizing diffusion of surface impurities into bulk of the samples. X-ray diffraction (XRD) patterns have revealed that ZnO preferentially grows along c-axis giving strong (002) diffraction peaks while Ge crystallizes in cubic structure showing no sign of oxide formation. Dark and light (white led) I-V measurements performed on the samples prepared on single crystalline Si substrates have revealed that there is a pronounced difference between the currents generated under dark and light conditions. Ge nanocrystals embedded ZnO structure has produced 4 orders of magnitude more current in logarithmic scale. These observations have been attributed to the quantum confinement effect due to Ge nanocrystals. Hard x-ray photoelectron spectroscopy has been used to probe the band alignment and confinement effects in Ge nanocrytals. [Preview Abstract] |
|
P1.00034: Effects of Crowding on DNA Self-Diffusion Using Single Molecule Methods Stephanie M. Gorczyca, Cole D. Chapman, Rae M. Robertson-Anderson Using single molecule fluorescence microscopy and particle-tracking, we examine the effects of crowding on the self-diffusion coefficients (D) of large, double-stranded DNA molecules. To determine D, we track the mean squared displacement of single fluorescent-labeled DNA molecules embedded in solutions of dextran, a common crowding agent. We determine the dependence of DNA self-diffusion on factors such as the level of crowding (volume fraction of dextran), molecular weight of the crowding agent, and DNA length (11 and 115 kilobasepairs). Previously, sub-diffusive motion has been reported in crowded environments; however, despite its strong resemblance to cellular conditions, relatively few studies have examined DNA in crowded environments, with conflicting results. By examining the self-diffusion of DNA over a broad parameter space we hope to illuminate the underlying mechanisms responsible for the complex molecular behavior observed within in vitro crowded environments and biological cells. [Preview Abstract] |
|
P1.00035: Translational Temperature Profiles in Atmospheric Air Microdischarges by Ultraviolet Rayleigh Scattering Steven Adams, James Caplinger, Amber Hensley, Allen Tolson Spatially resolved temperature measurements within a microdischarge in atmospheric pressure air have been conducted using Rayleigh scattering of a pulsed ultraviolet laser. The scatter image intensity along the laser beam axis is proportional to the background gas target density and thus, according to the ideal gas law, is inversely proportional to gas translational temperature. By measuring the scatter image with and without a discharge, the temperature was determined in 1-dimension along the laser beam passing radially through the discharge. The 1-dimensional scattering intensity profiles were then used to generate 2-dimensional cross-sectional slices of temperature by transitioning the height of the laser beam. The cross-sectional temperature profiles exhibited a high degree of cylindrical symmetry with the radial width of the high temperature region expanding with increasing discharge current. Peak temperatures determined by Rayleigh scattering for each current were compared to temperatures derived from standard optical emission spectral analyses of N$_{\mathrm{2}}$(C-B) bands, where the calculated rotational temperatures from emission were in reasonable agreement with the Rayleigh translational temperature profiles. [Preview Abstract] |
|
P1.00036: Sound and Noisy Light: Tuning Phonon Modes in Photo-switchable Nanostructures Sophia Sklan, Jeffrey Grossman The coupling of light to structural vibrations is well known and results in phenomena like phonon polaritons, acousto-optics (where phonons modulate optical properties), and optomechanics (where light creates or absorbs phonons). Here we consider the question of whether light could also be used to modulate the properties of phonons. We examine photo-isomers (which change their shape under exposure to light), embedded in a nanostructure designed to amplify the effects of photo-switching. To isolate the effects of photo-isomerization (jump photo-switching and shot noise), we apply a combination of analytic and computational techniques to analyze the stochastic dynamics of a toy model of this system. Particular attention is paid to applying this model to explore the potential applications of the photo-switchable nanostructure. [Preview Abstract] |
|
P1.00037: Fluorescence Quenching of CdSe Quantum dots on Graphene Xitao Guo, Zhenhua Ni, Chunyan Liao, Haiyan Nan, Yan Zhang, Weiwei Zhao, Wenhui Wang We studied systematically the fluorescence quenching of CdSe quantum dots (QDs) on graphene and its multilayers, as well as graphene oxide (GO) and reduced graphene oxide (rGO). Raman intensity of QDs was used as a quantitatively measurement of its concentration in order to achieve a reliable quenching factor (QF). It was found that the QF of graphene ($\sim$13.1) and its multilayers is much larger than rGO ($\sim$4.4), while GO ($\sim$1.5) has the lowest quenching efficiency, which suggests that the graphitic structure is an important factor for quenching the fluorescence of QDs. It was also revealed that there is no large difference on the QF of graphene with different thicknesses. [Preview Abstract] |
|
P1.00038: Time Resolved Photoelectron Spectroscopy of CdSe Quantum Dots in the Gas Phase Jennifer Ellis, Wei Xiong, Daniel Hickstein, Chengyuan Ding, Margaret Murnane, Henry Kapteyn We present the first photoelectron spectroscopy measurements of quantum dots (semiconductor nanocrystals) in the gas phase. By coupling a nanoparticle aerosol source to a femtosecond velocity map imaging photoelectron spectrometer, we apply robust gas-phase photoelectron spectroscopy techniques to colloidal quantum dots. Working with a flowing aerosol of quantum dots offers the advantages of providing fresh nanoparticles for each laser shot and removing perturbations from bonding with a surface or interactions with a solvent. In this work, we perform a two-photon photoionization experiment to show that the photoelectron yield per exciton depends on the physical size of the quantum dot, increasing for smaller dots. Using effective mass modeling we show that the extent to which the electron wave function of the exciton extends from the quantum dot, the so-called ``evanescent electron wavefunction'', increases as the size of the quantum dot decreases and that the photoelectron yield is dominated by the evanescent electron density. Further, we measured the charge transfer rate from the quantum dots to attached dye molecules. This work shows that gas-phase photoelectron spectroscopy is a robust and general probe of the electronic structure and dynamics of quantum dots. [Preview Abstract] |
|
P1.00039: Magneto Transport of CVD Carbon in Artificial Opals Lei Wang, Ming Yin, Fauzi Arammash, Timir Datta Magneto-transport of carbon inverse opal structures were investigated in the 2.5 to 300 K temperatures and magnetic fields in the 0-10T regime. Qualitatively, our observations lie between those reported by previous researchers. Over this temperature range, transport (in zero magnetic field) is non-metallic; the resistance decreased with rising temperature however the temperature dependent behavior is not activated, as observed with variable range hopping. In three-dimensions, such behavior can also be the result of weak localization and electron-electron interactions; in particular the change in conductivity is a polynomial in fractional powers of absolute temperature. At sub-helium temperature regimes the relative magneto resistance is measured to be $\sim$ 0.1 percent per Tesla. Results of data analysis for several different scenarios will be reported. [Preview Abstract] |
|
P1.00040: Inelastic vibrational processes in charge transfers between H/D and molecular ions Sarah L. Heczko, Kieffer G. Bacani, Richard A. Strom, Vola M. Andrianarijaona, David G. Seely, Charles C. Havener Charge transfer on molecule proceeds through dynamically coupled electronic, vibrational, and rotational degrees of freedom. The inelastic vibrational processes, which go along with the reaction, can be experimentally investigated by using H/D systems, which do not allow multi-electron capture. Using the upgraded ion-atom merged-beams apparatus at Oak Ridge National Laboratory, absolute direct charge transfer cross sections for H$_{2}^{+}$ , D$_{2}^{+}$, CO$^{+}$, O$_{2}^{+}$, and H$_{3}^{+}$ are measured from keV/u collision energies where the collision is considered ``ro-vibrationally frozen'' to few eV/u energies where collision times are long enough to sample vibrational modes. The measurements presented here benchmark high energy theory and vibrationally specific adiabatic theory (V. M. Andrianarijaona \textit{et al}., Phys. Rev. A \textbf{84}, 062716, 2011). Research supported by the NASA Solar \& Heliospheric Physics Program NNH07ZDA001N, the Office of Fusion Energy Sciences and Division of Chemical Sciences, Geosciences, and Biosciences, the Office of Basic Energy Sciences of the US Department of Energy. VA et al. is supported by the National Science Foundation through Grant No. PHY-106887. [Preview Abstract] |
|
P1.00041: BIOLOGICAL PHYSICS |
|
P1.00042: Biomedical sensors and imaging applications through nanoparticles produced with high energy ball-milling process Olena Zribi, Yuriy Garbovskiy, Anatoliy Glushchenko High energy ball milling allows: i) one step production of surface functionalized nanoparticles, and ii) tuning the nanoparticle properties by changing milling time. Nanoparticles that are ferroelectric can be used as second harmonic generating probes; semiconductor nanoparticles act as quantum dots. Also, nanoparticles produced by our method can be bio-conjugated with antibodies, peptides, etc. We outline potential biomedical imaging applications of such nanoparticles and show preliminary experimental results of probing living cell cultures (such as yeast and mammalian RBL cells) using these ball-milled nanoparticles colloids. [Preview Abstract] |
|
P1.00043: Fluorescent Dendrimer Nanoconjugates as Advanced Probes for Biological Imaging Daniel Reilly, Sung Hoon Kim, John A. Katzenellenbogen, Charles M. Schroeder Recent advances in fluorescence microscopy have enabled improvements in spatial resolution for biological imaging. However, there is a strong need for development of advanced fluorescent probes to enable a molecular-scale understanding of biological events. In this work, we report the development of a new class of probes for fluorescence imaging based on dye-conjugated dendrimer nanoconjugates. We utilize molecular-scale dendritic scaffolds as fluorescent probes, thereby enabling conjugation of multiple dyes and linkers to the scaffold periphery. In particular, we use polyamidoamine dendrimers as molecular scaffolds, wherein dye conjugation can be varied over a wide range. Single molecule fluorescence imaging shows that dendrimer nanoconjugates are far brighter than single fluorophores, resulting in increased localization precision. In addition, we further developed a new set of remarkably photostable probes by conjugating photoprotective triplet state quenchers directly onto the dendritic scaffold. We observe large increases in the photobleaching times compared to single dyes and reduced transient dark states (blinking). Overall, we believe that these new probes will allow for single molecule imaging over long time scales, enabling new vistas in biological imaging. [Preview Abstract] |
|
P1.00044: Conformational Analysis on structural perturbations of the zinc finger NEMO Ryan Godwin, Freddie Salsbury The NEMO (NF-kB Essential Modulator) Zinc Finger protein (2jvx) is a functional Ubiquitin-binding domain, and plays a role in signaling pathways for immune/inflammatory responses, apoptosis, and oncogenesis [\textit{Cordier et al., 2008}]. Characterized by 3 cysteines and 1 histidine residue at the active site, the biologically occurring, bound zinc configuration is a stable structural motif. Perturbations of the zinc binding residues suggest conformational changes in the 423-atom protein characterized via analysis of all-atom molecular dynamics simulations. Structural perturbations include simulations with and without a zinc ion and with and without de-protonated cysteines, resulting in four distinct configurations. Simulations of various time scales show consistent results, yet the longest, GPU driven, microsecond runs show more drastic structural and dynamic fluctuations when compared to shorter duration time-scales. The last cysteine residue (26 of 28) and the helix on which it resides exhibit a secondary, locally unfolded conformation in addition to its normal bound conformation. Combined analytics elucidate how the presence of zinc and/or protonated cysteines impact the dynamics and energetic fluctuations of NEMO. [Preview Abstract] |
|
P1.00045: Coupling Immunodeficiency factors to a normal cell system growing conjointly with tumor cells Mitra Shojania Feizabadi, Tarynn M. Witten In this work, we modify Witten's conjoint normal-tumor cell model in order to incorporate the presence of a simple immune system. We first examine the behavior of normal and tumor cells when tumor cells interact with surrounding normal cells. We then extend our model and add the effects of a simple immune system, immune-suppression factors and immune-chemotherapeutics agents. The evolution of the system variables is investigated via computer simulation. We show that the evolution of normal and tumor cells population is significantly affected by the choice of drug or immunodeficiency. [Preview Abstract] |
|
P1.00046: Will it fold? Structural and statistical analysis of a 10mer of Fdu Ryan Melvin, Freddie Salsbury Small strands of RNA are often drawn as extended structures in both journal articles and textbooks. However, a microsecond all-atom GPU-based simulation of a 10mer therapeutic, Fdu, shows folding into stable hairpin-like structures. After a 300ns equilibration phase, this 10mer has a 90 percent probability of existing in 1 of 5 folded states. A Markov analysis shows that despite the existence of a kinetically trapped state, the dynamics among the folded state converges on the nanosecond scale and is ergodic. Knowledge of these structures and probability of their occurrence will allow for estimates of free energies for binding proteins to potentially improve the delivery and design of this therapeutic. [Preview Abstract] |
|
P1.00047: Effect of Alcohol on Interaction of Model Biological Membrane with Steroids Marco Pinna, Manuela Mura, Marjan Famili, Yuhua Zhou, Andrei Zvelindovsky The effect of alcohol in the lipid bilayer changes the gel-phase structure of the lipid bilayer. Interactions between the alcohol molecules and the lipid bilayer were investigated using molecular dynamics. Alcohols such as ethanol and methanol are often used in drug delivery application. Ethanol is used to dissolve hydrophobic steroidal drugs such as Beclamethasone dipropionate, Fluticasone propionate and Prednisone. All the systems considered were equilibrated at 310K and ran for 100ns in the presence of dimyristoylphosphatidylcholine (DMPC) lipid bilayer. In addition the simulations were performed to investigate the behaviour of anti-asthma drugs such as Beclamethasone dipropionate in the water environment and 2.5{\%} of ethanol. [Preview Abstract] |
|
P1.00048: Integrated Graduate Program in Physical and Engineering Biology at Yale University Diego Caballero, Dorottya Noble, Thomas Pollard, Simon Mochrie, Corey O'Hern, Lynne Regan Quantitative, integrated approaches are necessary to solve biology's grand challenges. Yale's Integrated Graduate Program in Physical and Engineering Biology (IGPPEB) prepares students to excel at applying physics and engineering approaches, whilst also ensuring that they are sufficiently biologically sophisticated that they can readily identify and tackle cutting-edge problems. Students enter the program through a ``home'' department but also take a set of IGPPEB core courses with students from other departments. The IGPPEB curriculum is co-taught by faculty from a wide array of departments and motivates students to work together and learn from each other. The curriculum complements those of the home departments and includes primer courses to rapidly bring all students to a level where they ``speak each others language.'' The program is a member of the NSF's Physics of Living Systems: Student Research Network, which connects graduate students from different institutions that are engaged in research at the interface of physics and biology. Convergent research thrusts at Yale include Cellular Shape and Motion; Mechanical Force Generation and Sensing; Biomaterials and Bioinspired Design; Systems and Synthetic Biology; Modeling Biological Processes and Methods Development. [Preview Abstract] |
|
P1.00049: MRI contrast enhancement using Magnetic Carbon Nanoparticles Rakesh P. Chaudhary, Kim Kangasniemi, Masaya Takahashi, Samarendra K. Mohanty, Ali R. Koymen In recent years, nanotechnology has become one of the most exciting forefront fields in cancer diagnosis and therapeutics such as drug delivery, thermal therapy and detection of cancer. Here, we report development of core (Fe)-shell (carbon) nanoparticles with enhanced magnetic properties for contrast enhancement in MRI imaging. These new classes of magnetic carbon nanoparticles (MCNPs) are synthesized using a bottom-up approach in various organic solvents, using the electric plasma discharge generated in the cavitation field of an ultrasonic horn. Gradient echo MRI images of well-dispersed MCNP-solutions (in tube) were acquired. For T2 measurements, a multi echo spin echo sequence was performed. From the slope of the 1/T2 versus concentration plot, the R2 value for different CMCNP-samples was measured. Since MCNPs were found to be extremely non-reactive, and highly absorbing in NIR regime, development of carbon-based MRI contrast enhancement will allow its simultaneous use in biomedical applications. We aim to localize the MCNPs in targeted tissue regions by external DC magnetic field, followed by MRI imaging and subsequent photothermal therapy. [Preview Abstract] |
|
P1.00050: Quantum-Sequencing: Biophysics of quantum tunneling through nucleic acids Josep Casamada Ribot, Anushree Chatterjee, Prashant Nagpal Tunneling microscopy and spectroscopy has extensively been used in physical surface sciences to study quantum tunneling to measure electronic local density of states of nanomaterials and to characterize adsorbed species. Quantum-Sequencing (Q-Seq) is a new method based on tunneling microscopy for electronic sequencing of single molecule of nucleic acids. A major goal of third-generation sequencing technologies is to develop a fast, reliable, enzyme-free single-molecule sequencing method. Here, we present the unique ``electronic fingerprints'' for all nucleotides on DNA and RNA using Q-Seq along their intrinsic biophysical parameters. We have analyzed tunneling spectra for the nucleotides at different pH conditions and analyzed the HOMO, LUMO and energy gap for all of them. In addition we show a number of biophysical parameters to further characterize all nucleobases (electron and hole transition voltage and energy barriers). These results highlight the robustness of Q-Seq as a technique for next-generation sequencing. [Preview Abstract] |
|
P1.00051: Controlled Translocation of ds/ss hybrid DNA through Solid State Nanopores with Tuning Fork based Force sensing Probe tip (SSN-TFFSP) Harpreet Kaur, Changbae Hyun, Tao Huang, Nathan Walsh, Santoshi Nandivada, Ryan Rollings, Min Xiao, David McNabb, Jiali Li Using a newly constructed apparatus that integrates a Solid State Nanopore (SSN) and Tuning Fork based Force sensing Probe tip (SSN-TFFSP), we studied ds/ss hybrid single DNA molecules. The ds/ss hybrid DNA is a 48.6 kb double-stranded $\lambda $ DNA ligated to a 1kb single-stranded DNA. The $\lambda $ DNA end was ligated to a biotinlated Oligo for attaching the hybrid DNA to a probe tip. The SSN-TFFSP apparatus combines the measurement of ionic current through a solid-state nanopore with a DNA tethered probe tip that is position controlled and sensed by a tuning fork force sensor and a nanopositioning system. The SSN-TFFSP system monitors the process of DNA molecules being captured and trapped by a voltage-biased nanopore, and the process of pulling the trapped DNA out of the nanopore with a controlled speed of 100 $\mu$s/base (1nm/ms) or slower. Here we report on the 3 signals measured simultaneously from this apparatus: ionic current through a nanopore, tip position, and tip vibrational amplitude during the process of a ds/ss hybrid DNA tethered to a Probe tip being captured and released by a nanopore [Preview Abstract] |
|
P1.00052: Chromosome and mitotic spindle dynamics in fission yeast kinesin-8 mutants Ammon M. Crapo, Zachary R. Gergley, J. Richard McIntosh, M.D. Betterton Fission yeast proteins Klp5p and Klp6p are plus-end directed motors of the kinesin-8 family which promote microtubule (MT) depolymerization and also affect chromosome segregation, but the mechanism of these activities is not well understood. Using live-cell time-lapse fluorescence microscopy of fission yeast wild-type (WT) and klp5/6 mutant strains, we quantify and compare the dynamics of kinetochore motion and mitotic spindle length in 3D. In WT cells, the spindle, once formed, remains a consistent size and chromosomes are correctly organized and segregated. In kinesin-8 mutants, spindles undergo large length fluctuations of several microns. Kinetochore motions are also highly fluctuating, with kinetochores frequently moving away from the spindle rather than toward it. We observe transient pushing of chromosomes away from the spindle by as much as 10 microns in distance. [Preview Abstract] |
|
P1.00053: Contributions of microtubule rotation and dynamic instability to kinetochore capture Oliver Sweezy-Schindler, Christopher Edelmaier, Robert Blackwell, Matt Glaser, Meredith Betterton The capture of lost kinetochores (KCs) by microtubules (MTs) is a crucial part of prometaphase during mitosis. Microtubule dynamic instability has been considered the primary mechanism of KC capture, but recent work discovered that lateral KC attachment to pivoting MTs enabled rapid capture even with significantly reduced MT dynamics. We aim to understand the relative contributions of MT rotational diffusion and dynamic instability to KC capture, as well as KC capture through end-on and/or lateral attachment.~ Our model consists of rigid MTs and a spherical KC, which are allowed to diffuse inside a spherical nuclear envelope consistent with the geometry of fission yeast. For simplicity, we include a single spindle pole body, which is anchored to the nuclear membrane, and its associated polar MTs.~ Brownian dynamics treats the diffusion of the MTs and KC and kinetic Monte Carlo models stochastic processes such as dynamic instability. [Preview Abstract] |
|
P1.00054: Network based approaches reveal clustering in protein point patterns Joshua Parker, Valarie Barr, Joshua Aldridge, Lawrence E. Samelson, Wolfgang Losert Recent advances in super-resolution imaging have allowed for the sub-diffraction measurement of the spatial location of proteins on the surfaces of T-cells. The challenge is to connect these complex point patterns to the internal processes and interactions, both protein-protein and protein-membrane. We begin analyzing these patterns by forming a geometric network amongst the proteins and looking at network measures, such the degree distribution. This allows us to compare experimentally observed patterns to models. Specifically, we find that the experimental patterns differ from heterogeneous Poisson processes, highlighting an internal clustering structure. Further work will be to compare our results to simulated protein-protein interactions to determine clustering mechanisms. [Preview Abstract] |
|
P1.00055: Birds on a wire: empirical studies of flocking dynamics in linear aggregates Elliott Schwartz, Andrew Fulton, Lee Rosenthal, Suzanne Amador Kane The dynamics of avian flocking is difficult to study because of the transient, highly mobile and unpredictable nature of bird flocks. However, birds often form clusters when perched on wires and fences, offering a stable platform for studying the formation, internal structure and dynamics of these approximately one-dimensional flocks. Previous studies have examined the distribution of the distances between birds perched on wires, but not the time-dependence of this behavior. We present results from a video study of cliff swallows (\textit{Petrochelidon pyrrhonota}) perched on power lines in Sierra Valley, California. Phenomena studied include how the interbird distance distribution varies as a function of time, what factors influence the addition of further birds to an existing cluster, how the distribution evolves in response to perturbations, and typical timescales for the observed behaviors. [Preview Abstract] |
|
P1.00056: Studying the Transfer of Optical Orbital Angular Momentum to a Helical Bacterium Dana Davis, Timothy Horton, Steven Reichman, Justin Link, Heidrun Schmitzer, Jennifer Robbins, Dorothy Engle The purpose of this research is to study how the angular momentum of an optical vortex created by a 1064 nm laser is transferred to a helical shaped bacterium. When under the influence of a laser in optical tweezers, the helical shape of the bacteria causes it to spin in the trap. A spatial light modulator reshapes the beam and is twisted either into a left handed or right handed helix. This results in an optical vortex with a diameter which can be adjusted from roughly half a micron to three microns. The rotational speed of a helical bacterium in this type of optical trap should depend on the handedness of the vortex and the handedness of the bacterium being tweezed. When both the tweezing beam and the bacterium have the same handedness, a slight reduction in rotational speed should be observed; when the tweezing beam has the opposite handedness of the bacterium, a slight increase in rotational speed should be expected. We present our first experiments with \textit{magnetospirillum magnetotacticum} and \textit{rhodospirillum} \textit{rubrum}. [Preview Abstract] |
|
P1.00057: Expanding the locomotion repertoire of the eigenfish: Study of wildtype zebrafish larva escape response Maria Benitez-Jones, Kiran Girdhar, Yann Chemla, Martin Gruebele The zebrafish larva is a thoroughly studied and an extensively used model for behavioral and biomedical research. The Zebrafish Laboratory at the University of Illinois at Urbana-Champaign has applied a mathematical method to describe quantitatively the larva's swimming behavior. With this method, the 98{\%} of the larva's free-swimming behavior is described by its simplified\textit{ eigen}-fish model, which is a linear combination of its three characteristic components, or three \textit{eigen}-modes. This presentation focuses on the quantification of a different swimming behavior called escape response in wildtype (WT) zebrafish larvae. Although more data is required before assuming certainty in our results, the escape response of the WT was also described up to 98{\%} by three \textit{eigen}-modes. [Preview Abstract] |
|
P1.00058: Critical Phenomena in Population Coding John Berkowitz, Tatyana Sharpee Populations of neurons that code for sensory stimuli are often modeled as having sigmoidal tuning curves where the midpoint and slope of the curve represent, respectively, an intrinsic firing threshold and noise level. Recent studies have shown for two subpopulations of neurons that states below a critical noise level are associated with symmetry breaking between the populations' thresholds. In this work we consider the case of up to seven distinct subpopulations encoding a common gaussian stimulus. We optimized the mutual information between output patterns and stimuli by adjusting the thresholds for a fixed noise level. In the high-noise regime the optimal thresholds are fully redundant whereas the low noise limit predicts distinct threshold values that achieve histogram equalization of the input signal. Between the two limits, the thresholds exhibit a complex branching process that occur at successive values of the noise level. Each branch corresponds to a critical point of a continuous phase transition. The behavior of the system in the limit of a large number of subpopulations is also investigated, and critical phenomena are also present in the distribution of thresholds in this limit. [Preview Abstract] |
|
P1.00059: Towards a tolerance toolkit: Gene expression signatures enabling the emergence of resistant bacterial strains Keesha Erickson, Anushree Chatterjee Microbial pathogens are able to rapidly acquire tolerance to chemical toxins. Developing next-generation antibiotics that impede the emergence of resistance will help avoid a world-wide health crisis. Conversely, the ability to induce rapid tolerance gains could lead to high-yielding strains for sustainable production of biofuels and commodity chemicals. Achieving these goals requires an understanding of the general mechanisms allowing microbes to become resistant to diverse toxins. We apply top-down and bottom-up methodologies to identify biological network changes leading to adaptation and tolerance. Using a top-down approach, we perform evolution experiments to isolate resistant strains, collect samples for transcriptomic and proteomic analysis, and use the omics data to inform mathematical gene regulatory models. Using a bottom-up approach, we build and test synthetic genetic devices that enable increased or decreased expression of selected genes. Unique patterns in gene expression are identified in cultures actively gaining resistance, especially in pathways known to be involved with stress response, efflux, and mutagenesis. Genes correlated with tolerance could potentially allow the design of resistance-free antibiotics or robust chemical production strains. [Preview Abstract] |
|
P1.00060: Quantifying the rate of biofilm growth of \textit{S. meliloti} strains in microfluidics via the diffusion coefficient of microspheres Matthew Dorian, Effrosyni Seitaridou Understanding the rate of biofilm growth is essential for studying genes and preventing unwanted biofilms. In this study, the diffusion coefficient ($D$) of polystyrene microspheres was used to quantify biofilm growth rates of \textit{Sinorhizobia meliloti}, a nitrogen fixing bacteria that forms a symbiotic relationship with alfalfa plants. Five strains were studied, two wild types (8530 $expR^{+}$ and 1021) and three mutants in the exopolysaccharide (EPS I, EPS II) synthesis (8530 $exoY$, 9034 $expG$, and 9030-2 $expA1$); 1021 and 9030-2 $expA1$ are known to be unable to form biofilms. Each strain was inserted into a microfluidic channel with the microspheres. As the cultures grew, the spheres' $D$ values were obtained every 24 hours for 4 days using fluorescence microscopy. Although the D values for 9030-2 $expA1$ were inconclusive, 8530 $expR^{+}$, 8530 $exoY$, and 9034 $expG$ showed significant decreases in $D$ between 3 days of growth ($|z| > 2.25$, $p < 0.025$). The data also indicated that 8530 $expR^{+}$ and 8530 $exoY$ grew at similar rates. There was no significant change in $D$ for 1021 ($\chi^{2}(2) = 5.76$, $p > 0.05$), which shows the lack of a structured biofilm community. Thus, $D$ can be used as an indicator of the presence of a biofilm and its development. [Preview Abstract] |
|
P1.00061: Living Toroids - Cells on Toroidal Surfaces Ya-Wen Chang, Thomas Angelini, Samantha Marquez, Harold Kim, Alberto Fernandez-Nieves Cellular environment influences a multitude of cellular functions by providing chemical and physical signals that modulate cell behavior, dynamics, development, and eventually survival. Substrate mechanics has been recognized as one of the important physical cues that governs cell behavior at single cell level as well as in collective cell motion. Past research has suggested several contact-guided behaviors to be the result of surface curvature. However, studies on the effect of curvature are relatively scarce likely due to the difficulty in generating substrates with well-defined curvature. Here we describe the generation of toroidal droplets, which unlike spherical droplets, have regions of both positive and negative Gaussian curvature. Additionally, the range of curvatures can be controlled by varying the size and aspect ratio of the torus. Cells are either encapsulated inside toroidal droplets or located on toroidal hydrogel surfaces where oxygen and nutrient limitation is minimal. Preliminary studies use B. Subtilis to study the organization of bacteria as they develop into biofilms. When confined in droplets surrounded by yield-stress fluid, bacteria self-organize into heterogeneous biofilm at fluid- substrate interface, forming toroidal shaped-celloidosome{\textregistered} structures. It is found that the surface curvature in the sub-millimeter scale has little effect on biofilm architecture. [Preview Abstract] |
|
P1.00062: Molecular modeling of the conformational dynamics of the cellular prion protein Charles Nguyen, Ian Colling, Jason Bartz, Patricia Soto Prions are infectious agents responsible for transmissible spongiform encephalopathies (TSEs), a type of fatal neurodegenerative disease in mammals. Prions propagate biological information by conversion of the non-pathological version of the prion protein to the infectious conformation, PrP$^{Sc}$. A wealth of knowledge has shed light on the nature and mechanism of prion protein conversion. In spite of the significance of this problem, we are far from fully understanding the conformational dynamics of the cellular isoform. To remedy this situation we employ multiple biomolecular modeling techniques such as docking and molecular dynamics simulations to map the free energy landscape and determine what specific regions of the prion protein are most conductive to binding. The overall goal is to characterize the conformational dynamics of the cell form of the prion protein, PrP$^{c\, }$, to gain insight into inhibition pathways against misfolding. [Preview Abstract] |
|
P1.00063: Genomic Physics. Multiple Laser Beam Treatment of Alzheimer's Disease V. Alexander Stefan The synapses affected by Alzheimer's disease can be rejuvenated by the multiple ultrashort wavelength laser beams.\footnote{V. Stefan, B. I. Cohen, C. Joshi, \textit{Science}, 243, 4890, (Jan.27, 1989).} The guiding lasers scan the whole area to detect the amyloid plaques based on the laser scattering technique. The scanning lasers pinpoint the areas with plaques and eliminate them. Laser interaction is highly efficient, because of the focusing capabilities and possibility for the identification of the damaging proteins by matching the protein oscillation eigen-frequency with laser frequency.\footnote{ V. Alexander Stefan, \textit{Neurophysics, Stem Cell Physics, and Genomic Physics}, (S-U-Press, La Jolla, CA, (2012); V. Alexander Stefan, APS-March-2013, {\#} H1.00208, (2013).} [Preview Abstract] |
|
P1.00064: Dynamics of Hydrated tRNA on Nanodiamond Surface Studied by Quasi-elastic Neutron Scattering Gurpreet Dhindsa, Vadym N. Mochalin, Hugh O' Neill, Yury Gogotsi, Xiang Qiang Chu Diamond is an outstanding material in many aspects, and nanodiamond (ND) inherits most of the superior properties of bulk diamond and delivers them at the nanoscale. ND has excellent properties that can be applied in biomedical field such as a good platform for drug delivery. In this study, we show that hydrated tRNA can be adsorbed on the surfaces of nanodiamonds and further demonstrate specific properties in its dynamics. We investigate the dynamics of the system by Quasielastic neutron scattering (QENS) technique. The dynamics of hydrated tRNA on ND surfaces exhibits a logarithmic-like decay within the time range of 10 ps to 1 ns, which has also been observed in the freestanding proteins and other biopolymers. We further compare the dynamics of tRNA hydrated with D$_{2}$O on ND surface with that of freestanding hydrated tRNA molecules. Our results show that the relaxational dynamics of tRNA on ND surface is much faster than that of the freestanding tRNA molecules. This gives the hint that the folded states of tRNA is modified by ND surfaces to engage faster dynamics. The difference in the dynamics of the hydration water modified by ND is another possible reason which causes the faster dynamics in tRNA on ND surface. [Preview Abstract] |
|
P1.00065: Computational Study of Force-Gating in Myosin VI Ian Lowe, Yubo Yang, Riina Tehver Myosin VI is a molecular motor whose processivity is achieved via mechanical gating of its ATPase activity. The goal of our work is to elucidate the gating mechanism at molecular level. We have performed Brownian dynamics simulations to probe this mechano-chemical coupling within the motor domain. To reach biologically relevant timescales, we use coarse-grained models for myosin VI, both with and without explicit ATP. Our model also allows us to explicitly include external mechanical stress and study the response of the motor and its ATP binding pocket while tuning the external force parameters. [Preview Abstract] |
|
P1.00066: Rigorous surface charge method for determining electrostatic interaction energies in biomolecular systems T.P. Doerr, O.I. Obolensky, A.Y. Ogurtsov, Yi-Kuo Yu Classical electrostatics plays a crucial role in bimolecular systems, dominating the interactions that determine the formation and dissolution of complexes responsible for the operation of cells. For systems that can be modeled as a set of piecewise-constant dielectric bodies, surface charge methods are usually preferable in both analytical and numerical contexts. We present a numerical implementation of a surface charge method previously used in analytical contexts. The method is applied to a realistic model of trypsin, an important protein involved in digesting other proteins, and one of its inhibitors, benzamidine. The classical calculations are complemented by density function theory calculations at short separations for which the classical model is inappropriate. We find that the surface charge method correctly distinguishes between correct and incorrect docking sites. [Preview Abstract] |
|
P1.00067: Frustration in Condensed Matter and Protein Folding Z. Li, S. Tanner, B. Conroy, F. Owens, M.M. Tran, C. Boekema By means of computer modeling, we are studying frustration in condensed matter and protein folding, including the influence of temperature and Thomson-figure formation. Frustration is due to competing interactions in a disordered state. The key issue is how the particles interact to reach the lowest frustration. The relaxation for frustration is mostly a power function (randomly assigned pattern) or an exponential function (regular patterns like Thomson figures). For the atomic Thomson model, frustration is predicted to decrease with the formation of Thomson figures at zero kelvin. We attempt to apply our frustration modeling to protein folding and dynamics. We investigate the homogeneous protein frustration that would cause the speed of the protein folding to increase. [1] Increase of protein frustration (where frustration and hydrophobicity interplay with protein folding) may lead to a protein mutation. [2] Research is supported by \underline {WiSE@SJSU} and AFC San Jose. \\[4pt] [1] Contessoto \textit{et al}, Proteins \textbf{81} 1727--1737 (2013).\\[0pt] [2] Oliveira, L C \textit{et al}, Chem Phys \textbf{125} 084904-1-7 (2006). [Preview Abstract] |
|
P1.00068: Selective Refinement and Molecular Dynamics Ranking Selection of Near-native Protein Structures Jiong Zhang, Jingfen Zhang, Dong Xu, Yi Shang, Ioan Kosztin In recent years \textit{in silico} protein structure prediction reached a level where a variety of servers can generate large pools of near-native structures. However, the identification and further refinement of the best structures from the pool of decoys remain problematic. To address these issues, we have developed a selective refinement protocol (SRP), and a molecular dynamics (MD) simulation based ranking method (MDR). In SRP the refinement of structures is accomplished by using the relax mode of the Rosetta software package, subject to specific constraints determined by the type and complexity of the target. The final best models are selected with MDR by testing their relative stability against gradual heating during all atom MD simulations. We have implemented the selective refinement protocol and the MDR method in Mufold-MD, our fully automated protein structure prediction server. Mufold-MD was one of the top servers in the CASP10 competition. [Preview Abstract] |
|
P1.00069: Computation of Atomic Solvent Accessible Surface Areas and Gradients for the Calculation of Solvation Energy and Forces on Molecules Michelle Hummel, Christopher Fennell, Evangelos Coutsias, Kenneth Dill, Emiliano Brini Many models for the calculation of solvation energy and forces on a molecule involve atomic solvent accessible surface areas and their gradients. We present analytical formulas for such areas and gradients which utilize the Delaunay tetrahedrization of a molecule and its subset called the $\alpha$-complex. These formulas have been implemented in a fast computer program in conjunction with a solvation approach called \emph{Semi Explicit Assembly (SEA)}, and has shown to produce quick and physically accurate results. [Preview Abstract] |
|
P1.00070: Investigation of RNA Polymerase I Transcription under Force-Free Condition by Single Molecule Technique Suleyman Ucuncuoglu, David A. Schneider, David Dunlap, Laura Finzi RNA Polymerase I (Pol I) conducts more than 60{\%} of all the transcriptional activity in cells and also is responsible for synthesizing the RNA structure of the ribosome in eukaryotic cells. It is evident in many studies that Pol I transcription is affected by tumor suppressors and oncogenes which makes Pol I as a target for the anticancer therapeutics. The mechanistic pathways and kinetics of the Pol I transcription needs to be understood more precisely. Even though previous bulk studies measured the kinetics of the Pol I transcription, the results may hinder the intermediate states such as processivity and pausing during elongation. Here we used the single molecule approach to show that Pol I pauses more than Pol II during elongation step by using a novel single molecule instrument, multiplexed tethered particle motion microscopy (TPM). Our in-house developed TPM equipment is able to concurrently observe hundreds of single molecules. TPM technique has a major advantage to observe pausing under force-free condition unlike other single molecule techniques such as magnetic tweezers and optical tweezers. We also report that the processivity of Pol I is very low where only one out of fifteen transcription event reached the run-off site. We anticipate that our single molecule assays paved the way for observing more sophisticated aspects of Pol I transcription and it's relation with initiation and transcriptional factors. [Preview Abstract] |
|
P1.00071: Evolutionary Game Theory Analysis of Tumor Progression Amy Wu, David Liao, James Sturm, Robert Austin Evolutionary game theory applied to two interacting cell populations can yield quantitative prediction of the future densities of the two cell populations based on the initial interaction terms. We will discuss how in a complex ecology that evolutionary game theory successfully predicts the future densities of strains of stromal and cancer cells (multiple myeloma), and discuss the possible clinical use of such analysis for predicting cancer progression. [Preview Abstract] |
|
P1.00072: 300 mm arrays and 30 nm Features: Frontiers in Sorting Biological Objects Robert Austin, Brandon Comella, Joseph D'Silva, James Sturm One of the great challenges in prediction of metastasis is determining when the metastatic process actually begins. It is presumed that this process occurs due to passage of biological objects in the blood from tumor to remote sites. We will discuss our attempts to find both very large objects (circulating tumor cell clumps) and very small (exosomes) using a combination of extremely large scale photolithography on 300 mm wafers and deep-UV lithography to produce sub-100 nm arrays to sort exosomes. These technologies push the envelope of present day academic facilities . [Preview Abstract] |
|
P1.00073: Is seeing believing? An assessment of the impact of fluorescent labelling on protein structure and interaction potential Michelle K. Quinn, Susan James, Ruth McNamara, Jennifer J. McManus Fluorescent labelling is extensively used in conjunction with spectroscopy and microscopy for the in-vivo and in-vitro study of proteins. However, there is little data quantifying how this impacts on the protein in terms of its net interaction potential and its structure. Human ?D-crystallin (HGD), a protein found in the eye lens at high concentrations, undergoes liquid-liquid phase separation (LLPS) and has a well-studied phase diagram. LLPS is indicative of short-ranged attractive interactions between the proteins and the conditions this occurs under are sensitive to changes in the protein itself (e.g. mutations, dimer formation) and its environmental conditions (e.g. pH, salt concentration). HGD is produced recombinantly in E. coli and fluorescently labelled via covalent attachment after purification. Comparison of the coexistence curves for labelled and unlabelled protein indicates if there has been a change in the net interaction potential and various spectroscopic techniques are used to elucidate structural changes between the labelled and unlabelled protein. These studies are important for understanding the relationship between in-vitro phase diagram experiments and those conducted in complex biological fluids, such as plasma or cells where fluorescent tagging is required. [Preview Abstract] |
|
P1.00074: Size and shape of Brain may be such as to take advantage of two Dimensions of Time Richard Kriske This author had previously Theorized that there are two non-commuting Dimensions of time. One is Clock Time and the other is Information Time (which we generally refer to as Information, like Spin Up or Spin Down). When time does not commute with another Dimension of Time, one takes the Clock Time at one point in space and the Information time is not known; that is different than if one takes the Information time at that point and the Clock time is not known---This is not explicitly about time but rather space. An example of this non-commutation is that if one knows the Spin at one point and the Time at one point of space then simultaneosly, one knows the Spin at another point of Space and the Time there (It is the same time), it is a restatement of the EPR paradox. As a matter of fact two Dimensions of Time would prove the EPR paradox. It is obvious from that argument that if one needed to take advantage of Information, then a fairly large space needs to be used, a large amount of Energy needs to be Generated and a symmetry needs to be established in Space--like the lobes of a Brain in order to detect the fact that the Tclock and Tinfo are not Commuting. This Non-Commuting deposits a large amount of Information simultaneously in that space, and synchronizes the time there. [Preview Abstract] |
|
P1.00075: Wide two-photon in vivo imaging deep inside thick biological tissue Kai Lou, Bo Wang, Steve Granick Wide 3D imaging deep inside thick intact tissue is a grand challenge. Here we develop a method combining temporal focusing with modulating the spatial degrees of freedom of the incident light. By modulating the phase contrast in ways informed by random matrix theory, compression of spatial distortion and chirped ultrafast pulses through thick biological tissues are anticipated. This method is anticipated to provide a functional platform to map neuron behavior and networks. [Preview Abstract] |
|
P1.00076: Nanotextured PDMS Substrates for Enhanced Roughness and Aptamer Immobilization for Cancer Cell Capture Muhymin Islam, Arif Mahmood, Md. Bellah, Young-tae Kim, Samir Iqbal Detection of circulating tumor cells (CTCs) in the early stages of cancer is requires very sensitive approach. Nanotextured polydimethylsiloxane (PDMS) substrates were fabricated by micro reactive ion etching (Micro-RIE) to have better control on surface morphology and to improve the affinity of PDMS surfaces to capture cancer cells using surface immobilized aptamers. The aptamers were specific to epidermal growth factor receptors (EGFR) present in cell membranes, and overexpressed in tumor cells. We also investigated the effect of nano-scale features on cell capturing by implementing various surfaces of different roughnesses. Three different recipes were used to prepare nanotextured PDMS by micro-RIE using oxygen (O$_{2}$) and carbon tetrafluoride (CF$_{4}$). The measured average roughness of three nanotextured PDMS surfaces were found to impact average densities of captured cells. In all cases, nanotextured PDMS facilitated cell capturing possibly due to increased effective surface area of roughened substrates at nanoscale. It was also observed that cell capture efficiency was higher for higher surface roughness. The nanotextured PDMS substrates are thus useful for cancer cytology devices. [Preview Abstract] |
|
P1.00077: Five-site model for a motor protein walking on a bead-spring substrate Nabina Paudyal, Maral Adeli Koudehi, Jutta Luettmer-Strathmann Motor proteins play an important role in many biological processes. For example, kinesin molecules are responsible for the transport of vesicles in nerve cells and their malfunction has been linked to neurodegenerative diseases. Motor proteins are also responsible for the unique mechanical properties of active matter. To study non-equilibrium aspects of motor-substrate systems, biological chain molecules interacting with motor proteins have been investigated in single-chain pulling experiments. Unfortunately, the complexity of motor proteins and their environment makes it difficult to model the detailed dynamics of molecular motors over long time scales. In this work, we develop a simple coarse-grained model for a motor protein on a bead-spring substrate under tension. In our model, different pair potentials describe interactions between substrate and motor, motor components and substrate components. The movement of motor proteins entails ATP hydrolysis, which is modeled in terms of mechano-chemical states that couple positional and chemical degrees of freedom. The goal of this work is to simulate cargo transport in confined geometries and to investigate the mechanical response of a single chain interacting with motor proteins. [Preview Abstract] |
|
P1.00078: Coarse-grained model for motor proteins interacting with single chain molecules Maral Adeli Koudehi, Nabina Paudyal, Jutta Luettmer-Strathmann Motor proteins play an important role in many biological processes. One class of such molecules facilitates the translocation of biological chain molecules through membranes. The activity of motor proteins is also responsible for the unique mechanical properties of active matter. Since modified forms of membrane motors are active in vitro and their binding to single chains leads to the formation of loops that affect the chain's mechanical response, single-chain motor complexes are interesting model systems for active matter. In this work, we develop a simple coarse-grained model for a motor protein on a bead-spring substrate under tension. In our model, different pair potentials describe interactions between substrate and motor, motor components and substrate components. The movement of motor proteins entails ATP hydrolysis, which is modeled in terms of mechano-chemical states that couple positional and chemical degrees of freedom. We apply the model to the problem of cargo transport under confinement and the effect of motor-protein activity on the mechanical response of a single chain molecule. [Preview Abstract] |
|
P1.00079: Teaching Emergence and Collective Behavior in Physics and Biology to Non-majors Michael Manhart Emergence and collective behavior form one of the most fertile intersections of physics and biology in current research. Unfortunately, modern and interdisciplinary concepts such as these are often neglected in physics courses for non-majors. A team of four graduate students and a faculty advisor recently redesigned our department's course for non-majors (Concepts of Physics for Humanities and Social Science Students) to focus on emergence and collective behavior along with three other major themes in modern physics. In the course we developed basic concepts of statistical physics and thermodynamics to understand a variety of emergent phenomena in physics and biology, including bird flocking, superconductivity, and protein folding. We discussed the notion of life itself as an inherently emergent phenomenon arising from the collective behavior of molecules. The students also wrote their own blog posts on emergent phenomena and interactively explored emergence through workshops on Foldit (the protein folding game) and Conway's Game of Life. We believe our course demonstrates some possibilities and challenges for teaching non-majors at the intersection of physics and biology. [Preview Abstract] |
|
P1.00080: Modeling metaphase to anaphase transition of budding yeast cell cycle Shanshan Qin, Chao Tang One of the interesting questions in cell cycle is how the sister chromosomes separate in an abrupt and irreversible manner. Anaphase is initiated when the anaphase-promoting complex (APC) triggers the destruction of securin (Pds1), allowing separase (Esp1) to cut the sister-chromatid cohesion. In experiment,we observed the degradation timing were different among cycling protein Clb5, Clb2 and Pds1. Phosphorylation of these proteins by APC dramaticaly affect their degradation. To fully understand the role of activation and degradation timing and coordination these cell cycle associated proteins,we build a simplified ordinary differential equations model. Simulation results suggest that the phosphorylation of protein do delay its degradation by APC, which agree with our experiment results. The positive feedback loop between Cdc14 and Pds1 is responsible for the abrupt separation of sister-chromatid. When varying each parameter up and down for ten fold, similar results can still be produced, suggest that this network is robustly designed for its function. [Preview Abstract] |
|
P1.00081: Topologies for perfect adaptation in gene transcription Wenjia Shi, Chao Tang Adaptation is commonly used in sensory systems and signaling networks to allow the detection of further stimuli. Despite enzymatic network topologies for adaptation have been investigated systematically, the topology of transcriptional network that could perform adaptation still remains unclear, due to the complexity of transcriptional regulation. Here, we systematically investigated all three-node transcriptional networks, and found the topologies of transcriptional networks for adaptation are different from that of enzymatic ones. While both negative feedback loop (NFBL) and incoherent feed forward loop (IFFL) are capable of performing adaptation analytically, a positive self-regulation on buffer node is necessary for NFBL topology and more flexible structures emerge for IFFL than that of enzymatic networks. Most of the simulation results agree with analytical predictions. This study may explain the mechanism of adapted gene regulation behavior and supply a design table for gene regulatory adaptation. [Preview Abstract] |
|
P1.00082: Correlating cell morphology and stochastic gene expression using fluorescence spectroscopy and GPU-enabled image analysis Douglas Shepherd, Evan Shapiro, Evan Perillo, James Werner Biological processes at the microscopic level appear stochastic, requiring precise measurement and analytical techniques to determine the nature of the underlying regulatory networks. Single-molecule, single-cell studies of gene expression have provided insights into how cells respond to external stimuli. Recent work has suggested that macroscopic cell properties, such as cell morphology, are correlated with gene expression. Here we present single-cell studies of a signal-activated gene network: Interleukin 4 (IL4) RNA production in rat basophil leukemia (RBL) cells during the allergic response. We fluorescently label individual IL4 RNA transcripts in populations of RBL cells, subject to varying external stimuli. A custom super-resolution microscope is used to measure the number of fluorescent labeled IL4 transcripts in populations of RBL cells on a cell-by-cell basis. To test the hypothesis that cell morphology is connected genotype, we analyze white light images of RBL cells and cross-reference cell morphology with IL4 RNA levels. We find that the activation of RBL cells, determined by white-light imaging, is well correlated with IL4 mRNA expression. [Preview Abstract] |
|
P1.00083: An \textit{in vivo} and \textit{in silico} approach to study \textit{cis}-antisense: a short cut to higher order response Colleen Courtney, Usha Varanasi, Anushree Chatterjee Antisense interactions are present in all domains of life. Typically sense, antisense RNA pairs originate from overlapping genes with convergent face to face promoters, and are speculated to be involved in gene regulation. Recent studies indicate the role of transcriptional interference (TI) in regulating expression of genes in convergent orientation. Modeling antisense, TI gene regulation mechanisms allows us to understand how organisms control gene expression. We present a modeling and experimental framework to understand convergent transcription that combines the effects of transcriptional interference and \textit{cis}-antisense regulation. Our model shows that combining transcriptional interference and antisense RNA interaction adds multiple-levels of regulation which affords a highly tunable biological output, ranging from first order response to complex higher-order response. To study this system we created a library of experimental constructs with engineered TI and antisense interaction by using face-to-face inducible promoters separated by carefully tailored overlapping DNA sequences to control expression of a set of fluorescent reporter proteins. Studying this gene expression mechanism allows for an understanding of higher order behavior of gene expression networks. [Preview Abstract] |
|
P1.00084: ABSTRACT MOVED TO H1.032 |
|
P1.00085: A study of the eigenvectors of low frequency vibrational modes in crystalline cytidine via high pressure Raman spectroscopy Scott A. Lee High-pressure Raman spectroscopy has been used to study the eigenvectors and eigenvalues of the low-frequency vibrational modes of crystalline cytidine at 295 K by evaluating the logarithmic derivative of the vibrational frequency with respect to pressure: $\frac{1}{\omega }\frac{d\omega }{dP}$. Crystalline samples of molecular materials such as cytidine have vibrational modes that are localized within a molecular unit (``internal'' modes) as well as modes in which the molecular units vibrate against each other (``external'' modes). The value of the logarithmic derivative is a diagnostic probe of the nature of the eigenvector of the vibrational modes, making high pressure experiments a very useful probe for such studies. Internal stretching modes have low logarithmic derivatives while external as well as internal torsional and bending modes have higher logarithmic derivatives. All of the Raman modes below 200 cm$^{\mathrm{-1}}$ in cytidine are found to have high logarithmic derivatives, consistent with being either external modes or internal torsional or bending modes. [Preview Abstract] |
|
P1.00086: Direct observation of transcription activator-like effector (TALE) protein dynamics Luke Cuculis, Zhanar Abil, Huimin Zhao, Charles M. Schroeder In this work, we describe a single molecule assay to probe the site-search dynamics of transcription activator-like effector (TALE) proteins along DNA. In modern genetics, the ability to selectively edit the human genome is an unprecedented development, driven by recent advances in targeted nuclease proteins. Specific gene editing can be accomplished using TALE proteins, which are programmable DNA-binding proteins that can be fused to a nuclease domain. In this way, TALENs are a leading technology that has shown great success in the genomic editing of pluripotent stem cells. A major hurdle facing clinical implementation, however, is the potential for deleterious off-target binding events. For these reasons, a molecular-level understanding of TALE binding and target sequence search on DNA is essential. To this end, we developed a single-molecule fluorescence imaging assay that provides a first-of-its-kind view of the 1-D diffusion of TALE proteins along stretched DNA. Taken together with co-crystal structures of DNA-bound TALEs, our results suggest a rotationally-coupled, major groove tracking model for diffusion. We further report diffusion constants for TALE proteins as a function of salt concentration, consistent with previously described models of 1-D protein diffusion. [Preview Abstract] |
|
P1.00087: Membrane deformation controlled by monolayer composition of embedded amphiphilic nanoparticles Reid Van Lehn, Alfredo Alexander-Katz In recent work, we have shown that charged, amphiphilic nanoparticles (NPs) can spontaneously insert into lipid bilayers, embedding the NP in a conformation resembling a transmembrane protein. Many embedded membrane proteins exert an influence on surrounding lipids that lead to deformation and membrane-mediated interactions that may be essential for function. Similarly, embedded NPs will also induce membrane deformations related to the same physicochemical forces. Unlike many transmembrane proteins, however, the highly charged NPs may exert preferential interactions on surrounding lipid head groups. In this work, we use atomistic molecular dynamics simulations to show that the membrane around embedded particles may experience local thinning, head group reorientation, and an increase in lipid density depending on the size and surface composition of the NP. We quantify the extent of these deformations and illustrate the complex interplay between lipid tail group and head group interactions that go beyond pure thickness deformations that may be expected from coarse-grained or continuum models. This work thus suggests guidelines for the design of particles that spontaneously partition into lipid bilayers and influence local membrane mechanical properties in a targeted manner. [Preview Abstract] |
|
P1.00088: The effect of tail-length mismatch in binary DMPC/DSPC lipid bilayers Rana Ashkar, Michihiro Nagao, Paul Butler Bilayer heterogeneity has been long hypothesized to drive raft formation and promote complex functionality in lipid membranes. The highly dynamic nature of the membrane however is thought to play a critical role in this delicate balance between structure and performance. To probe the effect of lateral heterogeneity on membrane dynamics, we investigate the thermal response of unilamellar-vesicle systems of mixed dimyristoylphosphatidylcholine (DMPC) and distearoylphosphatidylcholine (DSPC) with DMPC/DSPC ratios of 50/50 and 70/30. Both lipids experience a transition from an ordered gel phase, with stiff stretched tails, to a melted fluid phase, with more coiled flexible tails, as they are heated through their melting temperature, T$_{\mathrm{m}}$(DMPC) $\approx $ 21 $^{\circ}$C and T$_{\mathrm{m}}$(DSPC) $\approx $ 51 $^{\circ}$C. The distinct T$_{\mathrm{m}}$'s of the two lipids provide a broad gel-fluid phase with a significant mismatch ($\approx $ 20 {\AA}) between the tail-lengths of the DMPC and DSPC molecules. The structural properties of the vesicles were determined by small-angle neutron and x-ray scattering and the collective lipid dynamics in the bilayer were investigated by neutron spin-echo (NSE) spectroscopy on selectively deuterated samples. The NSE results indicate a slowdown of thickness fluctuations in the gel-fluid coexistence phase and an intriguingly strong enhancement in the thickness fluctuation amplitude for T \textgreater T$_{\mathrm{m}}$(DSPC) compared to our previous work on single component vesicles. [Preview Abstract] |
|
P1.00089: A prototype biosensor: artificial cell membrane on porous silicon Maria Jose Retamal, Marcelo Cisternas, Mark Busch, Sebastian Gutierrez, Patrick Huber, Tomas Perez-Acle, Michael Kappl, Ulrich Volkmann Biosensors have been studied in recent years because they are powerful instruments to detect physical or chemical parameters as, e.g., intracellular interactions. What we propose is a prototype biosensor based on an artificial cell membrane (DPPC) on porous silicon. Porous silicon is used as a sponge-like substrate to absorb water by capillarity and keep the membrane hydrated, which is essential for the membrane not to denature when performing temperature cycles. Thus, one can observe the phase changes of the cell membrane with temperature using optical and surface scanning methods. In this research we used the technique of Very High Resolution Ellipsometry (VHRE) to observe changes in the ellipsometric angles during temperature ramps, which are attributed to different lipid phase transitions. Imaging ellipsometry (IE) was used to observe surface changes at the microscopic level and Atomic Force Microscopy (AFM) to observe changes in the topography of the membrane at the nanoscale. [Preview Abstract] |
|
P1.00090: Scaling effects in theropod dinosaurs Scott A. Lee For geometrically similar animals, the length of the leg bones $\ell $ would scale as the diameter of the leg bone d: d $\sim$ $\ell $. In order to maintain the same stresses in the leg bones when standing (i.e., elastic similarity), $\ell^{3}$ must scale as d$^{2}$, yielding d $\sim$ $\ell^{3/2}$. Sixty-six femora from more than 30 different species of theropod dinosaurs were studied. Our results yield d $\sim$ $\ell^{1.16}$, well below the prediction of elastic similarity. The maximum stresses on the leg bones would have occurred during locomotion when forces on the order of several times the body weight would have been present. Bending and torsional stresses of the femur would have been more likely to break the bone than compression. The ability of the bone to resist bending stresses is given by its section modulus Z. From our data, we find that Z $\sim$ $\ell ^{3.49}$. The bending torque applied to the femur is expected to scale as roughly $\ell^{4}$. Both results indicate that larger theropods had smaller cursorial abilities than smaller theropods, as is observed in extant animals. Assuming that all theropod bones have the same shear modulus, the ability for the femora to resist torsion is given by Q $=$ J/ $\ell $ where J is the second polar moment of the area. From our data, we find that Q $\sim$ $\ell^{3.66}$. [Preview Abstract] |
|
P1.00091: Locomotion speeds from trackways: Predatory dinosaurs moved faster than herbivorous dinosaurs Scott A. Lee Fossilized trackways from dinosaurs leaves evidence of their locomotion from the stride length S and foot length F which yields the leg length L. From studies of living animals, it is known that a walking animal has a relative stride length RSL (= S/L) less than 2 and a running animal has a RSL greater than 2. A statistical analysis was performed of trackways associated with three groups of herbivorous dinosaurs: sauropods (N = 23), the armored ankylosaurs and stegosaurs (N = 10), and the unarmored ornithopods (N = 23) as well as the predatory theropods (N = 35). The average RSL of the sauropods and the armored dinosaurs were both 0.9 $\pm$ 0.3. The ornithopods had an average RSL of 1.2 $\pm$ 0.2. None of the trackways associated with herbivorous dinosaurs have an RSL greater than 1.5, indicating that they were all walking. The theropods showed the fastest and most varied locomomtion: their highest average RSL was 1.8 $\pm$ 0.7. Nine of the theropod trackways had an RSL greater than 2.0, indicating that the dinosaurs were running when they made those trackways. One of the theropod trackways had an RSL of 4.5, indicating that it was running very fast compared to its body length. [Preview Abstract] |
|
P1.00092: The forelimb of \textit{Tyrannosaurus rex}: a pathetic vestigial organ or an integral part of a fearsome predator? Scott A. Lee, Joshua Thomas The function of the forelimb of \textit{Tyrannosaurus rex} remains a controversial topic since it was too short to transfer food directly to the mouth. Since \textit{Tyrannosaurus rex} was bipedal, the forelimb was not involved in locomotion. Suggestions for its possible use include providing an initial push for a laying animal to stand or to hold position during mating. We report numerical calculations performed to determine the moment of inertia of the forearm and the torques generated by the muscles of the arm, based on three-dimensional representations of the forelimb. Our results imply that the forelimb was capable of very high angular accelerations, on the order of 130 radians/s$^{2}$. This corresponds to a tangential acceleration of the manus on the order of 90 m/s$^{2}$ or about 9g, indicating that the manus could be moved extremely quickly to control a struggling prey animal immediately before the death blow was delivered by the teeth of \textit{Tyrannosaurus rex}. Rather than a pathetic vestigial organ, these calculations suggest that the forelimbs were an integral part of the predation tactics of \textit{Tyrannosaurus rex}. [Preview Abstract] |
|
P1.00093: The role of advection and diffusion in waste disposal by sea urchin embryos Aaron Clark, Nicholas Licata We determine the first passage probability for the absorption of waste molecules released from the microvilli of sea urchin embryos. We calculate a perturbative solution of the advection-diffusion equation for a linear shear profile similar to the fluid environment which the embryos inhabit. Rapid rotation of the embryo results in a concentration boundary layer of comparable thickness to the length of the microvilli. A comparison of the results to the regime of diffusion limited transport indicates that fluid flow is advantageous for efficient waste disposal. [Preview Abstract] |
|
P1.00094: Microfluidic measurement of effects of ACF7/MACF1 gene on the mechanics of primary cortical neurons Donghee Lee, Minhan Ka, Woo-Yang Kim, Sangjin Ryu Actin filaments and microtubules play important roles in determining the mechanics of cells, and ACF7/MACF1 (Actin Crosslinking Family 7/Microtubule And Actin Crosslinking Factor 1) gene seems to be closely related to connections between actin filaments and microtubules. To identify such roles of the ACF7/MACF1 gene of primary cortical neurons, we isolated neuronal cells from the cerebral cortex of the embryonic mouse brain, which is important in memory, language and perception. We exerted viscous shear flow to normal neuronal cells and ACF7/MACF1 gene knockout neuronal cells using rectangular microfluidic channels. While changing viscous shear stress on the cells, we recorded changes in the morphology of the two cell types using video microscopy. Having analyzed the deformation of the cells, we could quantitatively correlate differences in the morphological change between the both normal and ACF7/MACF1 gene knockout neuronal cells to the applied shear force, which will contribute toward identifying cell mechanical roles of the ACF7/MACF1 gene. [Preview Abstract] |
|
P1.00095: Erythrocyte hemodynamics in stenotic microvessels: A numerical investigation Tong Wang, Zhongwen Xing This paper presents a two-dimensional numerical investigation of deformation and motion of erythrocytes in stenotic microvessels using the immersed boundary-fictitious domain method. The erythrocytes were modeled as biconcave-shaped closed membranes filled with cytoplasm. We studied the biophysical characteristics of human erythrocytes traversing constricted microchannels with the narrowest cross-sectional diameter as small as 3 $\mu $m. The effects of essential parameters, namely, stenosis severity, shape of the erythrocytes, and erythrocyte membrane stiffness, were simulated and analyzed in this study. Moreover, simulations were performed to discuss conditions associated with the shape transitions of the cells along with the relative effects of radial position and initial orientation of erythrocytes, membrane stiffness, and plasma environments. The simulation results were compared with existing experiment findings whenever possible, and the physical insights obtained were discussed. The proposed model successfully simulated rheological behaviors of erythrocytes in microscale flow and thus is applicable to a large class of problems involving fluid flow with complex geometry and fluid-cell interactions. Our study would be helpful for further understanding of pathology of malaria and some other blood disorders. [Preview Abstract] |
|
P1.00096: Stem Cell Physics. Laser Manipulation of Blood Types: Laser-Stripping-Away of Red Blood Cell Surface Antigens V. Alexander Stefan A novel mechanism of importance for the transfusion medicine\footnote{Karl Landsteiner, \textit{Centralblatt f. Bakteriologie, Parasitenkunde u. Infektionskrankheiten}, \textbf{27}, 357--362, (1900).} is proposed. The interaction of ultrashort wavelength multilaser beams with the flowing blood thin films can lead to a conversion of blood types A, B, and AB into O type.\footnote{Henrik Clausen and the research group from the University of Copenhagen, \textit{Nature Biotechnology} \textbf{25}, 454 - 464 (2007); Published online on April 1, 2007.} The stripping away of antigens is done by the scanning-multiple-lasers of a high repetition rate in the blue-purple frequency domain. The guiding-lasers are in the red-green frequency domain. The laser force, (parametric interaction with the antigen eigen-oscillation),\footnote{V. Stefan, B. I. Cohen, C. Joshi, \textit{Science}, 243, 4890, (Jan.27, 1989); V. Alexander Stefan, \textit{Neurophysics, Stem Cell Physics, and Genomic Physics}, (S-U-Press, La Jolla, CA, 2012); V. Alexander Stefan, APS-March-2013, {\#} H1.00208.\par } upon the antigen protein molecule must exceed its weight. [Preview Abstract] |
|
P1.00097: How the embryonic brain tube twists Zi Chen, Qiaohang Guo, Nickolas Forsch, Larry Taber During early development, the tubular brain of the chick embryo undergoes a combination of progressive ventral bending and rightward torsion. This deformation is one of the major organ-level symmetry-breaking events in development. Available evidence suggests that bending is caused by differential growth, but the mechanism for torsion remains poorly understood. Since the heart almost always loops in the same direction that the brain twists, researchers have speculated that heart looping affects the direction of brain torsion. However, direct evidence is virtually nonexistent, nor is the mechanical origin of such torsion understood. In our study, experimental perturbations show that the bending and torsional deformations in the brain are coupled and that the vitelline membrane applies an external load necessary for torsion to occur. In addition, the asymmetry of the looping heart gives rise to the chirality of the twisted brain. A computational model is used to interpret these findings. Our work clarifies the mechanical origins of brain torsion and the associated left-right asymmetry, reminiscent of D'Arcy Thompson's view of biological form as ``diagram of forces". [Preview Abstract] |
|
P1.00098: What is the role of native state in thermophilic adaptation ? Lucas Sawle, Kingshuk Ghosh Thermophilic proteins denature at higher temperatures than mesophilic proteins. Among the many hypothesis related to thermophilic adaptation, reduced native state flexibility is one widely believed to be a signature of thermophilic proteins in comparison to their mesophilic homologues. While the majority of existing studies consist of investigating individual proteins, we instead focus on large-scale and detailed modeling of numerous proteins to infer the presence of general principles to thermophilic adaptation. We have curated and constructed the largest dataset of experimentally determined, monomeric, and non-complexed thermophilic-mesophilic homologue pairs. Using this data set of protein pairs, we performed comparative analysis of the native state fluctuations from molecular dynamics simulations at 300K in explicit solvent. From these dynamical fluctuations at short time scales (a cumulative of 150 ns or higher for each protein), we calculated several quantities of interest: i) intraresidue fluctuations, ii) dipole moment fluctuation to calculate dielectric constant, and iii) entropy of contact and cluster distribution. Here, we will present the performance of these different metrics to distinguish thermophiles from mesophiles. [Preview Abstract] |
|
P1.00099: Modeling the Morphogenesis of Epidermal Tissues on the Surface of a 3D Last W. Tyler McCleery, Sarah M. Crews, David N. Mashburn, Jim Veldhuis, G. Wayne Brodland, M. Shane Hutson Embryogenesis in the fruit fly \textit{Drosophila melanogaster} is coordinated by the interaction of cells in adjacent tissues. For some events of embryogenesis, e.g., dorsal closure, two-dimensional models have been sufficient to elucidate the relevant cell and tissue mechanics. Here, we describe a new three-dimensional cell-level finite element model for investigating germ band retraction -- a morphogenetic event where one epidermal tissue, the germ band, initially wraps around the posterior end of the ellipsoidal embryo. This tissue then retracts with a mechanical assist from contraction of cells in a second epidermal tissue, the amnioserosa. To speed simulation run times and focus on the relevant tissues, we only model epidermal tissue interactions. Epidermal cells are defined as polygons constrained to lie on the surface of the ellipsoidal last, but have adjustable parameters such as edge tensions and cell pressures. Tissue movements are simulated by balancing these dynamic cell-level forces with viscous resistance and allowing cells to exchange neighbors. Our choice of modeling parameters is informed by in vivo measurements of cell-level forces using laser microsurgery. We use this model to investigate the multicellular stress fields in normal and aberrant development. [Preview Abstract] |
|
P1.00100: Modeling stochastic cell dynamics with adhesion anisotropy quantitatively reproduces convergent extension Taylor Firman, Kingshuk Ghosh, J. Todd Blankenship, Dinah Loerke Epithelial cells in {\it Drosophila} embryos intercalate together during germ-band extension in order to elongate the entire embryo along the anterior-posterior axis, a process more broadly known as convergent extension. {\it In silico} simulation of hexagonal cell matrices provides an inexpensive way to test the validity of possible mechanisms governing convergent extension of epithelial tissues. Our proposed system is node-based as opposed to pixel-based, storing data only for the node points defining the idealized polygons representing individual cells. This brings simulation times down from days to hours. Using Monte Carlo simulation techniques, the energy function used takes into account cell volume and membrane conservation as well as adhesion between surrounding cells. Our model takes a passive adhesion approach by assuming planar polarized distributions of adhesiveness within the cell. This leads to convergent extension using only Brownian motion. This adhesion-based model also allows us to add in a level of heterogeneity, where cell polarizations don't align perfectly along the dorsal-ventral axis due to a mistake in cellular machinery. This results in longer monopolar adhesions along interfaces, leading to slower interface contraction and complex cell behaviors. [Preview Abstract] |
|
P1.00101: Modeling the expected lifetime and evolution of a deme's principal genetic sequence. Brian Clark The principal genetic sequence (PGS) is the most common genetic sequence in a deme. The PGS changes over time because new genetic sequences are created by inversions, compete with the current PGS, and a small fraction become PGSs. A set of coupled difference equations provides a description of the evolution of the PGS distribution function in an ensemble of demes. Solving the set of equations produces the survival probability of a new genetic sequence and the expected lifetime of an existing PGS as a function of inversion size and rate, recombination rate, and deme size. Additionally, the PGS distribution function is used to explain the transition pathway from old to new PGSs. We compare these results to a cellular automaton based representation of a deme and the drosophila species, D. melanogaster and D. yakuba. [Preview Abstract] |
|
P1.00102: Insight into the Chemical Compass Mechanism of Cryptochromes by Computational Investigation Ruth Pachter, Gongyi Hong In this work we investigated aspects of the light-dependent inclination compass, largely assumed in avian magnetic perception, e.g. of European robins. It is postulated that radical pairs (RPs) are formed in cryptochrome (Cry) photoreceptors that contain a redox-active flavin adenine dinucleotide (FAD) in proximity to a Trp triad. The hypothesis was previously rationalized theoretically for the Cry from Arabidopsis thaliana (AtCry1), and the pKa of the proximate residue (PR) to the FAD we derived from QM/MM MD simulations is consistent with this assumption. However, attempts to extrapolate the results to other species are complicated. In the Cry from Drosophila melanogaster (DmCry1), which demonstrated a magnetic response, the FAD anionic radical ground state differs from an oxidized form in AtCry1, and the PR to the FAD is Cys rather than Asp in AtCry1. Investigation for DmCry1 model compounds, showing potential feasibility of a RP mechanism, will be described, where the calculated excitation energy is in agreement with experiment. Involvement of a Tyr instead of Trp in the triad was also considered. Because Crys from the garden warbler form RPs, a RP mechanism was examined, based on a 3D structure derived by homology modeling and MD simulations. [Preview Abstract] |
|
P1.00103: Theoretical Studies on Excitation Energy Fluctuations of Pigments in a Light-Harvesting Complex Masahiro Higashi, Shinji Saito Excitation energy fluctuations of pigments in light-harvesting complexes play an important role in the excitation energy transfer dynamics. It is considered that protein environment controls the excitation energy fluctuation to maximize the efficiency of excitation energy transfer. However, the detailed mechanism is still unknown. The high computational cost of reliable electronic structure calculations for excited states prevents us from carrying out a large number of sampling needed to evaluate the excitation energy fluctuations. To overcome this difficulty, we develop a new method called molecular mechanics with Shepard interpolation corrections (MMSIC), which enable us to generate potential energy surfaces for pigments in light-harvesting complexes efficiently. We illustrate the new method by application to bacteriochlorophyll ${\it a}$ pigments in the Fenna-Matthews-Olson complex. The MMSIC calculations are more than a million times faster than the direct electronic structure calculations, and the calculated results are in good agreement with the experimental results. [Preview Abstract] |
|
P1.00104: Probing the Role of the Eighth Bacteriochlorophyll in \textit{holo}-Fenna-Matthews-Olson Complex by Simulated Two-Dimensional Electronic Spectroscopy Shu-Hao Yeh, Sabre Kais The Fenna-Matthews-Olson (FMO) complex in green sulfur bacteria funnels the excitation energy from the outer antenna system to the reaction center. FMO is an important system for studying the excitonic energy transfer in biological system including photosynthesis. Recently crystallographic studies have confirmed the existence of an `extra' bacteriochlorophyll (8-BChls), this additional BChl has been suggested to act as a linker to the baseplate. To investigate the role of this eighth BChl, we have simulated the two-dimensional electronic spectrum of the\textit{ holo}-form (8 BChls) of the FMO complex and compared it to its \textit{apo}-form (7-BChls). Due to the comparable energy scale of the transition dipole coupling and the bath reorganization energy we have applied the hierarchy equation of motion (HEOM) to calculate the third order optical response functions, which are the crucial components to simulate the two-dimensional electronic spectra. Our simulated spectra show good agreement with previously published experimental studies; we have extracted dynamic details for the determination of energy transfer pathway in both forms. [Preview Abstract] |
|
P1.00105: Piston-assisted proton pumping in Complex I of mitochondria membranes Lev Mourokh, Ilan Filonenko Proton-pumping mechanism of Complex I remains mysterious because its electron and proton paths are well separated and the direct Coulomb interaction seems to be negligible. The structure of this enzyme was resolved very recently and its functionality was connected the shift of the helix HL. We model the helix as a piston oscillating between the protons and electrons. We assume that positive charges are accumulated near the edges of the helix. In the oxidized state, the piston is attracted to electrons, so its distance to the proton sites increases, the energy of these sites decreases and the sites can be populated. When electrons proceed to the drain, elastic forces return the piston to the original position and the energies of populated proton sites increase, so the protons can be transferred to the positive site of the membrane. In this work, we explore a simplified model when the interaction of the piston with electrons is replaced by a periodic force. We derive quantum Heisenberg equations for the proton operators and solve them jointly with the Langevin equation for the piston position. We show that the proton pumping is possible in such structure with parameters closely resembling the real system. We also address the feasibility of using such mechanism in nanoelectronics. [Preview Abstract] |
|
P1.00106: POLYMERS AND SOFT MATTER PHYSICS |
|
P1.00107: Transport Properties and Crossection Dependence on PEDOT (Poly(3,4-ethylenedioxythiophene)) Nanoribones Omar Vega, Jeileen Luciano, Eduardo Vega, Nicholas Pinto, Luis Rosa Electronic transport properties of PEDOT (Poly(3,4-ethylenedioxythiophene)) have been characterized by fabricating nanoribbons by electrospinning and nanostructuri modification by AFM Nanoshaving. The nanoribbons dimensions tend to be 10nm to 30 nm X (30 $\mu$m, 20 $\mu$m, 10 $\mu$m). In order to get insight information of the electron transport the nanostructuring of the nanoribbon are made by changing its crossection by AFM nanoshaving. The cross sections have been modify by removing a 200nm by 2$\mu$m gap in the center of the nanoribbon. We have shown that reducing the dimensions of the ribbons to less than 100 nm of the PEDOT ribbon don't behave Ohmic. [Preview Abstract] |
|
P1.00108: Modeling of Nonlinear Mechanical Response in CFRP Angle-Ply Laminates Shinji Ogihara It is known that the failure process in angle-ply laminate involves matrix cracking and delamination and that they exhibit nonlinear stress-strain relation. There may be a significant effect of the constituent blocked ply thickness on the mechanical behavior of angle-ply laminates. These days, thin prepregs whose thickness is, for example 50 micron, are developed and commercially available. Therefore, we can design wide variety of laminates with various constituent ply thicknesses. In this study, effects of constituent ply thickness on the nonlinear mechanical behavior and the damage behavior of CFRP angle-ply laminates are investigated experimentally. Based on the experimental results, the mechanical response in CFRP angle-ply laminates is modeled by using the finite strain viscoplasticity model. We evaluated the mechanical behavior and damage behavior in CFRP angle-ply laminates with different constituent ply thickness under tensile loading experimentally. It was found that as the constituent ply thickness decreases, the strength and failure strain increases. We also observed difference in damage behavior. The preliminary results of finite strain viscoplasticity model considering the damage effect for laminated composites are shown. A qualitative agreement is obtained. [Preview Abstract] |
|
P1.00109: One-pot fabrication of graphene oxide-patched hollow-structured microgel particles in a microcapillary device Aram Byun, Eun Seon Jeong, Jin Woong Kim Microgels are colloidal gel particles that consist of chemically cross-linked three-dimensional polymer networks. They play an essential role in delivery and release of active ingredients in medicine, cosmetics, food, and even autonomic self-healing applications. Despite their wide applicability, permeability control through the hydrogel phase is limited due to its intrinsic loose network nature. Herein, we introduce generation of hollow-structured microgel particles whose interfaces were patched with graphene oxide (GO) sheets. The whole fabrication procedure was carried out in a microcapillary device in a single step. GO sheets have an ability to adhere to both O/W and W/O interfaces. Taking advantages of this behavior, we generated monodisperse O/W/O double emulsion whose interfaces were patched with GO sheets. Solidification of the aqueous middle phase to the hydrogel phase gave rise to uniform GO-patched microgel shell particles. Furthermore, we demonstrated that the permeation of molecules through the shell could be controlled even to small molecular length scales due to the adsorption of GO. [Preview Abstract] |
|
P1.00110: Physical Immobilization Liposomes in Uniform Zwitterionic Microgel Particles Fabricated in Microcapillary Device Eun Seon Jeong, Aram Byun, Jin Woong Kim Lipid molecules have both hydrophilic and hydrophobic properties. Since their packing parameter ranges from 0.5 to 1, they self-assemble to form a vesicle structure, liposome. Thanks to the vesicle structure, liposome is able to encapsulate both hydrophilic and hydrophobic active ingredients, thus widening its applicability to pharmaceutical, cosmetic, and food industry. However, its vesicular structure is readily transferred to micelle in the presence of amphiphilic additives with low packing parameters. Therefore, it is critical to developing a technique to overcome this drawback. This study introduces a microfluidic approach to physically immobilize liposome in microgel particles. For this, we generate a uniform liposome-in-oil-in-water emulsion in a capillary-based microfluidic device. Basically, we~observe how the flows in micro-channels affect generation of embryo emulsion drops. Then, the uniform emulsion is solidified by using photo-polymerization. Finally, we characterize the particle morphology, membrane fluidity, and mesh property, encapsulation efficiency and releasing. [Preview Abstract] |
|
P1.00111: In Situ X ray scattering for investing morphology of bottle brush BCP with Solvent annealing Gajin Jeong, Thomas P. Russell, Benjamin R. Sveinbjornsson, Robert H. Grubbs We investigated the morphology of bottle-brush block copolymer (BrBCPs) thin films using solvent vapor annealing (SVA) in a specially designed chamber for \textit{in situ }grazing incidence x-ray scattering. BrBCPs with polystyrene (PS) and poly(lactic acid) (PLA) side chains and a norbornene backbone were studied SVA using THF, a good solvent for PS and PLA, a controlled swelling and deswelling rate were achieved with N$_{2}$ carrier gas. Film thickness was monitored by optical interferometry. The interference maximum, characteristic of the microdomain morphology, was found to vary linearly with molecular weight. The \textit{in situ }GISAXS measuremens were used to elucidate the evolution of the morphology in the thin films. [Preview Abstract] |
|
P1.00112: PVDF:TiO$_{2}$ Composite Thin Films for Capacitive Energy Storage Crystal Ewen, Randy Dillingham, Terry Stufflebeam, Eric Brickley Thin films composed of the polymer polyvinylidene fluoride (PVDF) and the ceramic nanoparticle titanium dioxide (TiO$_{2}$) are fabricated via thermal vapor deposition. This combination is ideal since it is light weight and improves the energy density. The elemental composition of the films are determined with energy dispersive x-ray spectroscopy using a scanning electron microscope. Elemental mapping of the films shows that the polymer and nanoparticles are homogeneously distributed. The ideal initial concentrations of PVDF and TiO$_{2}$ were determined to be $83\%$ and $17\%$ respectively. The final films yield a Ti weight percent of $20$. Parallel plate capacitors were fabricated by combining thermal vapor deposition and sputter coating. For the electrodes the parallel plates are gold-palladium (AuPd) with PVDF:TiO$_{2}$ as the dielectric. The AuPd electrodes were deposited via sputter coating. Each electrode was sputtered for $100$s, which yields a thickness of $33$nm. Current research is working to improve the amount of Ti deposited by varying the temperature and deposition time, obtain more accurate thickness measurements, and improve on its electrical properties. [Preview Abstract] |
|
P1.00113: The Glass Transition of Nanoconfined Polycyanurate: Intrinsic Size and Surface Effects Evelyn Lopez, Sindee L. Simon The glass transition temperature (T$_{\mathrm{g}})$ of a low molecular weight polycyanurate (6700 g/mol, PDI$=$2.47) is investigated under nanopore and thin film confinement. The nanopore-confined material is synthesized in-situ and the reaction kinetics are followed by differential scanning calorimetry (DSC); after reaction, the T$_{\mathrm{g}}$ values of the polymer are also measured by DSC. Thin films are spin coated from material synthesized in the bulk, and the T$_{\mathrm{g}}$ values are measured using a fast scanning calorimeter (Mettler-Toledo Flash DSC1). Results under nanopore confinement show that the reaction is accelerated and the T$_{\mathrm{g}}$ is depressed as the diameter of the nanopore decreases. The characteristic length for the different confinement geometries, defined as the surface area over volume, is used to compare the effects of nanoconfinement on T$_{\mathrm{g}}$. [Preview Abstract] |
|
P1.00114: Rotational and Translational Diffusion of Glass-Forming Ionic Liquids Confined in Nanoporous Silica Ciprian Iacob, Joshua Sangoro, James Runt, Friedrich Kremer Charge transport and glassy dynamics of several classes of ionic liquids confined in uni-directional nanoporous silica membranes are investigated in a wide frequency and temperature range by a combination of Broadband Dielectric Spectroscopy (BDS), Pulsed Field Gradient Nuclear Magnetic Resonance (PFG NMR) and Fourier Transform Infrared spectroscopy (FTIR). Two opposite effects are observed: (i) surface effects -- resulting from strong interactions between the host system (nanoporous silica membrane) and the guest molecules (ILs) lead to slower dynamics -- which are significantly reduced upon pore surface modification through silanization of the pores, and (ii) confinement effects -- arising from spatial restriction of the molecules in nanometric length-scales -- leading to enhancement of molecular dynamics. A model assuming a reduced mobility of the adsorbed layer at the pore wall/IL interface is shown to provide a quantitative explanation for the remarkable decrease of effective transport quantities (such as diffusion coefficient, dc conductivity and consequently, the dielectric loss) of the ILs in non-silanized porous silica membranes. [Preview Abstract] |
|
P1.00115: Measurement and Modeling of the Effect of Aging on the Compressive Yield of Epoxy Caitlyn Clarkson, John D. McCoy, Jamie M. Kropka, Robert S. Chambers Cylindrical specimens of a bisphenol A epoxy resin and polyetheramine curative were made following standard procedures and tested in compression over a range of temperatures and strain rates. The resin and curative were reacted to completion, annealed above Tg, and cooled at a controlled rate in order to define a known thermal history. The resulting stress-strain curves were analyzed for the yield stress. The yield stress is a highly non-linear property and is challenging for constitutive modeling to predict. The sensitivity of the yield stress to physical aging is probed by aging at temperatures 5 to 10 $^{\circ}$C below the Tg before applying the compressive load. Yield stresses under the experimental conditions are predicted by the activated-process based Ree-Eyring theory as well as by the SPEC constitutive mode and compared to the experimental results. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000. [Preview Abstract] |
|
P1.00116: Adsorption of HP Lattice Proteins on Patterned Surfaces Matthew Wilson, Guangjie Shi, David P. Landau, Ying Wai Li, Thomas Wuest The HP lattice model\footnote{ K. A. Dill, Biochemistry 24, 1501 (1985); K. F. Lau and K. A. Dill, Macromolecules 22, 3986 (1989).} is a course-grained, yet useful tool for modeling protein sequences where amino acids are treated as either hydrophobic ($H$) or polar ($P$) monomers. With the use of Wang-Landau sampling and an efficient set of Monte-Carlo moves\footnote{T. W\"{u}st and D. P. Landau, J. Chem. Phys. 137, 064903 (2012).}, HP lattice proteins adsorbed on patterned surfaces are studied. Each substrate is modeled as a periodically bounded pattern of lattice sites that interact with either $H$ or $P$ monomers in the lattice protein, where the energy contributions of the surface are determined by assigned coupling strengths. By analyzing energy degeneracies, along with the thermodynamic and structural quantities of the protein, both the protein folding and surface adsorption can be observed. The adsorption behavior of the lattice proteins on patterned surfaces will be compared to those interacting with uniform surfaces. [Preview Abstract] |
|
P1.00117: Cell Dynamics Simulations of Cylinder-Forming Diblock Copolymers in Thin Films on Topographical and Chemically Patterned Substrates Andrei Zvelindovsky, Roberta Dessi, Marco Pinna, Maria Serral, Josep Bonet Using 3-dimensional cell dynamics simulation, we demonstrate that the tetragonal phase of cylinder forming diblock copolymers can be induced on both topographical and chemical patterned substrates. The results quantitatively describe the different effect of both substrates on the degree of imperfection in the tetragonal phase observed in recent experiments [Xu, J.et al. Soft Matter 2011, 7, 3915]. Comparative analysis of the structural evolution for different thermal noise level in square, rectangular and diamond-shape lateral confinements is performed. A set of patterned substrates has been investigated. [Preview Abstract] |
|
P1.00118: Photocrosslinking induced phase separation in evaporating solvents: formation of skin layers and microspheres Liang Wang, Yifu Ding We study the structure formation of films obtained via photocrosslinking of precursors during the evaporation of solvents. Although most precursor/solvent systems result in uniform dense films after the process, reaction induced phase separation (RIPS) can occur in solvents with a unique combination of solubility, evaporation rate and ratio of latent heat to heat capacity. The RIPS in evaporating solvents results in highly hierarchical film morphology, featuring a skin layer atop a particulate layer. The influence of the processing parameters on skin layer thickness including N$_{2}$ flowrate, UV intensity and precursor concentration were investigated. Alongside, a theoretical model, based on the one for non-crosslinked polymer solution is developed, which can qualitatively interpret the skin layer formation and its dependence on processing parameters. [Preview Abstract] |
|
P1.00119: Mechanical behavior of polymer-grafted iron oxide nano particles under large shear deformation Yang Jiao, Erkan Senses, Pinar Akcora Grafting particles with polymers is an effective strategy to control the dispersion and assembly of fillers that will enhance the structural and mechanical stability of polymer nanocomposites (PNCs). Viscoelastic properties of polymer-grafted nanoparticles (NPs) dispersed in homopolymer melts at nonlinear regimes are particularly important as nonlinearities are sensitive to any microstructural change. Her, we report on the nonlinear mechanical behavior of poly(styrene) (PS)-grafted iron oxide NPs in PS homopolymers to reveal the importance of brush-matrix interface and dynamic entanglement under large shear deformations. With oscillatory shear flow, wetting is enabled and long-range ordering of particles is achieved in the system where free chains are longer than the grafted one. We show that large oscillatory deformations can strengthen the interfaces that result in the enhanced mechanical properties. These shear-induced ordered particles can perform as reinforced polymer networks for energy absorbing application. [Preview Abstract] |
|
P1.00120: Effective slippage on superhydrophobic trapezoidal grooves Jiajia Zhou, Evgeny Asmolov, Friederike Schmid, Olga Vinogradova We study the effective slippage on superhydrophobic grooves with trapezoidal cross-sections of various geometries (including the limiting cases of triangles and rectangular stripes), by dissipative-particle-dynamics simulations. Our results demonstrate that the effective slippage depends strongly on the area-averaged slip, the amplitude of the roughness, and on the fraction of solid in contact with the liquid. The simulation results are compared with numerical solutions to the Stokes equation, and show excellent agreement. [Preview Abstract] |
|
P1.00121: Electro-Induced Dewetting and Concomitant Ionic Current Avalanche in Nanopores Xikai Jiang, Jingsong Huang, Bobby Sumpter, Rui Qiao Electrically driven ionic transport of room-temperature ionic liquids (RTILs) through nanopores is studied by molecular dynamics simulations. It is observed that a gradual dewetting transition occurs in nanopores originally wetted by RTILs if the applied voltage is increased, and meanwhile the ionic current through the system increases sharply. These phenomena originate from the solvent-free nature of RTILs in which the ions' mobility increases sharply when their concentration decreases and are contrary to the transport of conventional electrolytes through nanopores. The results also show that the amplification of ionic current is possible by manipulating the properties of the nanopore and RTILs and is especially pronounced in charged nanopores. The results highlight the unique physics of nonequilibrium transport of RTILs in confined geometries and point to potential experimental approaches for manipulating ionic transport in nanopores, which can benefit diverse techniques including nanofluidic circuitry and nanopore analytics. [Preview Abstract] |
|
P1.00122: Microfluidic-SANS: insitu molecular insight into complex fluid processing and high throughput characterisation Carlos Lopez, Takaichi Watanabe, Joao Cabral, Peter Graham, Lionel Porcar, Anne Martel The coupling of microfluidics and small angle neutron scattering (SANS) is successfully demonstrated for the first time. We have developed novel microdevices with suitably low SANS background and high pressure compatibility for the investigation of flow-induced phenomena and high throughput phase mapping of complex fluids. We successfully obtained scattering profiles from 50 micron channels, in 10s -- 100s second acquisition times. The microfluidic geometry enables the variation of both flow type and magnitude, beyond traditional rheo-SANS setups, and is exceptionally well-suited for complex fluids due to the commensurability of relevant time and lengthscales. We demonstrate our approach by studying model flow responsive systems, including surfactant/co-surfactant/water mixtures, with well-known equilibrium phase behaviour,: sodium dodecyl sulfate (SDS)/octanol/brine, cetyltrimethyl ammonium chloride (C16TAC)/pentanol/water and a model microemulsion system (C10E4 /decane/ D20), as well as polyelectrolyte solutions. Finally, using an online micromixer we are able to implement a high throughput approach, scanning in excess of 10 scattering profiles/min for a continuous aqueous surfactant dilution over two decades in concentration. [Preview Abstract] |
|
P1.00123: SCFT Studies on the Phase Transitions and Domain Spacing of the Bottlebrush Copolymers Dachuan Sun, Junhan Cho Using the self-consistent mean filed theories, the order-disorder transitions (ODT) values $(N\chi )_{\mbox{ODT}} $ are calculated for AB bottlebrush copolymers with different lengths of the backbone and grafted chains, or with different chain-length-ratio between the grafted A and B chains. Increasing the fractions of the grafted chains gives a disordering effect on the microphases and causes the $(N\chi )_{\mbox{ODT}} $ values to increase. Contrarily, increasing the backbone fraction yields an ordering effect and causes the $(N\chi )_{\mbox{ODT}} $ values to decrease. For copolymers with the branches of the same sizes, the domain spacing $D$ depends on the backbone length. Its scaling exponent firstly increases and then decreases with the increase of the graft chain length. The domain spacing $D$ increases with the length of the grafted chains $r_{\mbox{G}} $ for bottlebrush copolymers with the same backbone. In weak segregation level, the SCFT calculations indicate that $D\propto r_{\mbox{G}}^{0.30}$, which reveals the smaller exponent than that predicted recently by the strong segregation analysis (0.35). [Preview Abstract] |
|
P1.00124: Molecular Dynamics Simulations of Microphase Separating Tapered Diblock Copolymers Youngmi Seo, Jonathan R. Brown, Lisa M. Hall Tapered AB copolymers consist of pure A and B blocks separated by a middle, random block whose average composition is a linear gradient from pure A to pure B (or from B to A for an inverse taper). These systems microphase separate into ordered structures similar to typical AB diblock copolymers. Prior experiments and theory suggest that one can use taper length as an adjustable parameter (beyond those available in the diblock system) to control interfacial and phase behavior, and that tapers potentially make the bicontinuous double gyroid phase more accessible at high molecular weight. Using a simple bead-spring model, we perform molecular dynamics (MD) simulations to determine the interfacial profiles and other features of the structure and dynamics as a function of taper length. The pairwise interactions are purely repulsive with stronger A-B than A-A or B-B repulsions. We find increasing the taper length increases miscibility and widens the interfacial region. The change in the interfacial density profile with taper length is similar to that from self-consistent field theory (SCFT) and fluids density functional theory (fDFT). A detailed comparison between the microphase separated structures obtained from MD, SCFT, and fDFT will be presented. [Preview Abstract] |
|
P1.00125: Self-assembly of Giant Molecular Shape Amphiphiles Studied by Dissipative Particle Dynamics Shiying Ma, Rong Wang The self-assembly of giant molecular shape amphiphiles is investigated by dissipative particle dynamics. Depending on the hydrophobic block length, molecular concentration, and the size of hydrophilic head, the aggregate exhibits a rich variety of morphological conformations, including vesicles, spherical micelles, tower-type disk-like micelles, onion micelles and cylinder micelles. And the morphological phase diagram is also obtained. At a fixed hydrophobic block length the aggregates change the morphology from spheres to cylinders further to vesicles with increasing molecular concentration. In the lower molecular concentration, the shape amphiphiles with different length of hydrophobic block always adopt spherical micelles. However, in the high molecular concentration, the micellar morphological formation of spheres, vesicles, and multi-layers is dependent on both the molecular concentration and the hydrophobic block length. These simulation findings are consistent with experimental observations. [Preview Abstract] |
|
P1.00126: Towards a Predictive Model of Elastomer seals Musab Khawaja, Arash Mostofi, Adrian Sutton, John Stevens Elastomers are a highly versatile class of material. Their diversity of technological application is enabled by the fact that their properties may be tuned through manipulation of their constituent building blocks at multiple length-scales. These scales range from the chemical groups within individual monomers, to the overall morphology on the mesoscale, as well as through compounding with other materials. An important use of elastomers is in seals for mechanical components. Ideally, such seals should act as impermeable barriers to gases and liquids, preventing contamination and damage to equipment. Elastomer failure, therefore, can be extremely costly and is a matter of great importance to industry. The question at the centre of this work relates to the failure of elastomer seals via explosive decompression. This mechanism is a result of permeation of gas molecules through the seals at high pressures, and their subsequent rapid egress upon removal of the elevated pressures. The goal is to develop a model to better understand and predict the structure, porosity and transport of molecular species through elastomer seals, with a view to elucidating general design principles that will inform the development of higher performance materials. [Preview Abstract] |
|
P1.00127: Coarse-grained molecular dynamics simulations linking molecular features of polycations to polycation-polyanion complexation for gene delivery Anna McLeland, Daniel Johnson, Arthi Jayaraman Gene therapy is a method involving transfection or delivery of therapeutic DNA to target cells for expression of proteins that can cure diseases. Polycations have shown tremendous potential as DNA delivery vectors because the positive charges along the polycation interact with the negatively charged DNA backbone to form a polyplex that protects and transfects the DNA. Past work has shown that the structure and chemistry of the polycation affects DNA transfection efficiency. In this work, we use coarse grained models that are mapped from atomistic simulations, along with molecular dynamics simulations to study the binding of polycations and polyanions into polyplexes. We characterize the structure, surface composition and shape of the polyplex, features that impact DNA delivery, as a function of polycation chemistry, architecture (linear versus grafted), and molecular weight. The results from these simulations serve as valuable guidelines for experimentalists on what molecular characteristics they need to incorporate in the polycations to achieve higher transfection efficiency. [Preview Abstract] |
|
P1.00128: Dynamics and kinetics of single-molecule pulling experiments Jutta Luettmer-Strathmann, Eric Copenhaver The response of a single molecule to an applied force is important for many biological processes. This response is often investigated via single-molecule pulling experiments, where a tension force is applied to the opposite ends of a biological chain molecule. In equilibrium conditions, the system follows a trajectory that may be predicted from a free-energy landscape. In non-equilibrium experiments, the pulling force varies too rapidly for the chain to explore all available configurations resulting in a deviation from the equilibrium trajectory. To gain a better understanding of the relationship between equilibrium and non-equilibrium processes, we investigate the effect of the pulling speed on the system's trajectory with two types of computer simulations of single-molecule experiments. We perform Wang-Landau simulations to determine the energy landscape and Langevin dynamics simulations to probe the dynamic response of the same bead-spring model of a biopolymer. After verifying that both simulation methods yield consistent equilibrium results, we study the effect of the pulling protocol on the free-energy landscape trajectories and compare kinetic predictions with dynamic simulation results. [Preview Abstract] |
|
P1.00129: On the Dynamics of Polymer Brushes Michael Lang, Ron Dockhorn, Marco Werner, Torsten Kreer, Jens-Uwe Sommer We analyze the relaxation dynamics of densely grafted layers of polymer chains under good solvent conditions by Monte Carlo simulations. We find anisotropic monomer mean square displacements when comparing the directions parallel and perpendicular to the grafting plane at intermediate time scales. Our analysis further reveals that the chains relax from the free end towards the innermost monomers. A plateau is observed for the bond-autocorrelation function that grows exponentially in time for the innermost monomers of the brush. Our observations indicate that chain retraction might be the dominating mechanism for the long time relaxation of densely grafted chains. [Preview Abstract] |
|
P1.00130: Petascale Molecular Dynamics Simulations of Polymers and Liquid Crystals Trung Dac Nguyen, Jan-Michael Carrillo, W. Michael Brown The availability of faster and larger supercomputers and more efficient parallel algorithms now enable us to perform unprecedented simulations approaching experimental scales. Here we present two examples of our latest large-scale molecular dynamics simulations using the Titan supercomputer in the Oak Ridge Leadership Computing Facility (OLCF). In the first study, we address the rupture origin of liquid crystal thin films wetting a solid substrate. Our simulations show the key signatures of spinodal instability in isotropic and nematic films on top of thermal nucleation. Importantly, we found evidence of a common rupture mechanism independent of initial thickness and LC orientational ordering. In the second study, we used coarse-grained molecular dynamics to simulate the thermal annealing of poly(3-hexylthiophene) (P3HT) and Phenyl-C61-butyric acid methyl ester (PCBM) blends in the presence of a silicon substrate found in organic solar cells. Our simulations show different phase segregated morphologies dependent on the P3HT chain length and PCBM volume fraction in the blend. Furthermore, the ternary blend of short and long P3HT chains with PCBM affects the vertical phase segregation of PCBM decreasing its concentration in the vicinity of the substrate. [Preview Abstract] |
|
P1.00131: Thermal conductivity of polymer nanocomposites Di Xu, Dilip Gersappe Carbon nano-materials have been extensively used to improve the properties of a polymer matrix. Among them, carbon nanotube and graphene have superior thermal conductivity, which could greatly enhance the thermal behavior of polymers. The effects of carbon fillers, however, depend on factors such as filler concentration, and compatibility with polymer matrix. We used molecular dynamic simulations to study how these factors would affect the thermal behavior of carbon-polymer composites, with a focus on carbon nanotube based composites and graphene based composites. We validated our methods by testing thermal conductivity of water, which agreed well with published experiment values. By using our model, we explore how the filler concentration, and how the coupling energy between two phases could affect the thermal performance of polymer matrix. We also test the effects of filler orientation and compare the different performance of carbon nanotube and graphene in improving the thermal conductivity of composites. The results provide guidelines to design carbon-based polymer composites with better thermal properties. [Preview Abstract] |
|
P1.00132: Molecular Dynamics simulations of Hydrogels Di Xu, Divya Bhatnagar, Miriam Rafailovich, Dilip Gersappe Hydrogels are emerging as a widely used material, particularly with biological applications. In these systems, the solvent concentration and effects can have a profound effect on the overall properties of the network. The heterogeneous nature of the network requires detailed simulations to probe the physical properties. We have initiated a series of MD simulations to explore how the functionality of crosslinker monomers could affect the structure of gel network, and have included solvent effects on the swelling and the mechanical properties of the gel. We used coarse-grained molecular dynamic simulation with Monte-Carlo methods to simulate polymer network formation with crosslinker monomers of different functionality. Standard tests, such as calculating the stress correlation function, were used to analyze the cross linked network. We also used grand canonical simulations to study the swelling behavior by coupling the gel with an imaginary solvent bath. After equilibrium, mechanical properties were characterized in terms of stress relaxation by non-equilibrium molecular dynamic simulation. [Preview Abstract] |
|
P1.00133: Identification of transition from disordered to hexagonal ordered phase in simulations of asymmetric diblock copolymers Pavani Medapuram, David Morse The transition from disordered to hexagonal ordered phase in asymmetric diblock copolymers has been identified precisely for a series of molecular weights using metadynamics which is a free-energy based technique. We explore important characteristics of the transition such as height of free energy barrier separating the two phases, first derivative of free energy, structure, strength of ordering, and compression modulus. [Preview Abstract] |
|
P1.00134: Systematic and Simulation-Free Coarse-Graining of Polymer Melts using Soft Potentials Delian Yang, Qiang Wang Full atomistic simulations of multi-chain systems are not feasible at present due to their formidable computational requirements. Molecular simulations with coarse-grained models have to be used instead, where each segment represents, for example, the center-of-mass of a group of atoms or real monomers. While atoms interact with hard excluded-volume interactions (e.g., the Lennard-Jones potential) and cannot overlap, the coarse-grained segments can certainly overlap and should therefore interact with soft potentials that allow complete particle overlapping. Coarse-grained models, however, reduce the chain conformational entropy, which plays an essential role in the behavior of polymeric systems. In this work, we use integral-equation theories, instead of molecular simulations, to perform both the structure-based and relative-entropy-based coarse-graining of homopolymer melts, and systematically examine how the coarse-grained soft potential varies with $N$ (the number of segments on each chain) and how well the coarse-grained models reproduce both the structural and thermodynamic properties of the original system. This provides us with a quantitative basis for choosing small $N$-values that can still capture the chain conformational entropy, a characteristics of polymers. [Preview Abstract] |
|
P1.00135: Phase separation of biphasic mixture of active Janus colloids Cong Xu, Jing Yan, Steve Granick Recently there is a surge of interest in the phase behavior of active matter in which building blocks display self-propelling motion. Although much has been known from theory and simulation, experimental examples are very rare. Specifically, the epitomic problem of a binary mixture of active matter defies any experiment or theory so far. Here we present an experimental realization of binary mixture of particles, which only acquires activity when they collisionally interact with the opposite kind. We used a system in which the only difference in the two particles is the phase in their cyclic motion, precluding any artifact due to difference in interparticle potential. We observe phenomena strikingly similar to spinodal decomposition of molecular system, in addition to new features due to the nonequilibrium nature of the system. We derived a general, effective Flory-Huggins theory for spinodal decomposition of bicomponent active system, and rationalized the 1/3 power law growth of the domain size in regions where thermodynamic analogy is valid. The system also presents a plethora of nonequilibrium phenomena such as critical fluctuation, lane formation, and dynamic absorbing state in different parameter space. [Preview Abstract] |
|
P1.00136: Utilizing ATRP to Design Self-Regenerating Polymer Gels Xin Yong, Saadyah Averick, Olga Kuksenok, Krzysztof Matyjaszewski, Anna Balazs Using newly developed computational approaches, we design a gel system capable of re-growth after a substantial section of the material was cut away. Atom transfer radical polymerization (ATRP) is utilized to form gels with preserved ``living'' chain ends and residual unreacted cross-linking groups. When this ``living'' gel is cut, these active species are exposed to a solution containing monomer, crosslinker, initiator and catalyst. A ``repairing'' polymerization occurs from both the new initiators introduced in the outer solution and the reactive chain ends present at the cut site. This new polymerization results in a covalent linkage between the initial living gel and the new gel prepared in the outer solution, and the connection is promoted by the presence of residual cross-linking groups. By measuring the diffusion of the outer solution into the cut gel and characterizing the width and strength of the interface between the initial and new gels, we identify the optimum parameters that yield a strong interface between the gel layers. Our simulations results are in good agreement with our experimental studies. This strategy not only regenerates ``injured'' gels, but also offers a novel means to engineer multi-layered composite gels. [Preview Abstract] |
|
P1.00137: Oscillating particles in passive concentrated suspensions Juan Luis Aragones, Joshua Steimel, Alfredo Alexander-Katz We have studied the phase behavior of oscillating active particles in concentrated suspensions using Langevin Dynamics. We compare our simulation results with experiments carried out using paramagnetic beads under an oscillating magnetic field in dense colloidal monolayers. We observe phase separation induced by the non-equilibrium nature of these active particles, termed \textit{oscillators}, at high densities both in pseudo-2D (i.e. monolayers) and 3D. In addition, we report different behaviors depending on the oscillation period, amplitude and concentration of active particles. When hydrodynamic interactions are explicitly taken into account by using Lattice-Boltzmann simulation, we further observe complex behaviors such as the formation of vortices around the rotating particles. This system is particularly interesting for the design and refines materials. [Preview Abstract] |
|
P1.00138: ABSTRACT WITHDRAWN |
|
P1.00139: Loop polymer brushes from polymer single crystals Tian Zhou, Christopher Li Loop polymer brushes represent a category of polymer brushes with both chain ends being tethered to a surface or interface with sufficiently high density. Due to this morphological difference, loop brushes exhibit distinct properties compared with traditional polymer brushes with single chain end being tethered. In our study, $\alpha $, $\omega $-functionalized polycaprolactone (PCL) single crystals were prepared as templates for polymer brush synthesis. By carefully controlling crystallization condition and immobilization, looped polymer brushes were successfully prepared. Comprehensive studies on the morphology and physical properties of these polymer brushes were carried out using Atomic Force Microscopy and FTIR. Advantages of using this method include exclusive loop morphology, high grafting density, controlled tethering sites and tunable loop size. [Preview Abstract] |
|
P1.00140: Polymer single crystal membranes from curved liquid/liquid interface Wenda Wang, Christopher Li The weak mechanical properties of the current available vesicles such as liposomes, polymersomes, colloidosomes limit their applications for targeting delivery of drugs/genes. Recently, we developed an emulsion-crystallization method to grow polymer curved single crystals. Using polyethylene and poly(l-lactic acid)as the model systems, enclosed or partially open polymer single crystals have been obtained. Electron diffraction and XRD results confirmed their crystalline structure. The single crystal hollow sphere is structurally close to polymersomes, but with thinner wall and higher modulus. [Preview Abstract] |
|
P1.00141: Molecular Dynamics of Poly(L-Lactic Acid) at around Glass Transition Temperature Elucidated by Solid-state NMR Wei Chen, Toshikazu Miyoshi Chain dynamics in so-called $\alpha_{\mathrm{c}}$ mobile crystals obey Arrhenius type behaviors at temperatures well above the glass transition temperature ($T_{\mathrm{g}})$ and below the melting temperatures ($T_{\mathrm{m}})$, while segmental motions of amorphous components above $T_{\mathrm{g}}$ follows WLF behaviors. If polymer chains in the crystalline regions perform overall chain dynamics at temperature around $T_{\mathrm{g}}$, how does dynamic correlation time \textless $\tau _{\mathrm{c}}$\textgreater change as a function of temperature? PLLA possessing a relatively high $T_{\mathrm{g}} \approx $ 60 $^{\circ}$C will provide an opportunity to challenge such a general question in polymer dynamics. Here molecular dynamics of PLLA chain in homo- ($\alpha \alpha $', and glassy states) and stereocomplex (SC) systems are investigated by Solid--State NMR. Results verify that the chains within crystalline region in $\alpha $ and SC begin molecular dynamics at temperatures well above $T_{\mathrm{g}}$ and temperature dependence of \textless $\tau _{\mathrm{c}}$\textgreater in both systems follows Arrhenius behavior. In the disordered $\alpha $' phase, the molecular dynamics of the backbone continues even at temperatures below $\sim$ $T_{\mathrm{g}}+$ 10 $^{\circ}$C. The temperature dependence of \textless $\tau_{\mathrm{c}}$\textgreater shows a non-Arrhenius behavior. The unique temperature dependence of molecular dynamics of PLLA in glassy state, disordered crystals, and stable crystals will be elucidated. [Preview Abstract] |
|
P1.00142: Macroscale Janus polymer single crystal film and its wettability analysis Hao Qi, Wenda Wang, Tian Zhou, Christopher Li Liquid-liquid interface between two immiscible solvents is crucial to studying amphiphile and colloidal self-assembly. It can also guide chain folding during the crystallization process. In this presentation, we show that crystallization of dicarboxy end functionalized poly($\epsilon$-caprolactone) at water/pentyl acetate interface result in millimeter scale, uniform polymer single crystal (PSC) film. Due to the asymmetric nature at the liquid-liquid interface, the PSC film exhibit Janus property - a hydrophobic side and a hydrophilic side, which is confirmed by in-situ nano-condensation experiment using an environmental scanning electron microscope. The thickness of the PSC film changes with different polymer solution concentration, revealing a surface tension dominated crystallization process. [Preview Abstract] |
|
P1.00143: Inducing crystallization of poly(3-hexylthiophene) nanowires by well-defined nucleation sites Daniel Acevedo-Cartagena, Yue Zhang, Elvira Trabanino, Alejandro Briseno, Ryan Hayward Solution crystallization of conjugated polymers promises a facile way to fabricate nano-scale structures with desirable properties for improving organic-based electronic devices. The addition of well-defined nucleation sites to a supersaturated solution can induce crystallization and allow for control over structural features. We identified conditions when homogenous nucleation of a model semicrystalline polymer, poly(3-hexylthiophene), P3HT, is suppressed, allowing for controlled crystallization into nanowires upon addition of well-defined nucleation sites. The hysteresis window between crystallization and melting temperatures of P3HT nanowires is tuned using concentration, molecular weight of the polymer, and solvent quality. We show that in this manner short P3HT nanowires (``seeds'') can be extended, though obtaining well controlled extension into linear structure remains an open challenge. In a similar fashion, graphene or graphite coated substrates were found to be excellent nucleating agents for growth of nanowire films. [Preview Abstract] |
|
P1.00144: The effects of irreversible polymer adsorbed layers induced by CO2 annealing on recrystallization/dewetting of ultrathin PEO films Levent Sendogdular, Mitsunori Asada, Naisheng Jiang, Maya K. Endoh, Tadanori Koga, Bulent Akgun, Sushil Satija The effects of CO$_{2}$ annealing on melting/recrystallization processes of spin-cast poly (ethylene oxide) (PEO) ultrathin films (20, 50 and 100 nm) prepared on Si substrates were investigated. In-situ neutron reflectivity results showed that all PEO thin films melt at a pressure as low as P$=$2.9MPa and at T$=$48$^{\circ}$C which is below the bulk melting temperature (T$_{m}$). The films were then subjected to quick depressurization to atmospheric pressure, resulting in the non-equilibrium amorphous state of the saturating polymer with CO$_{2}$, and the recrystallization or dewetting process was induced during the continuous evaporation process at given temperatures below T$_{m}$. Detailed structural characterization using grazing incidence X-ray diffraction, atomic force microscopy, and polarized optical microscopy revealed two unique aspects of the CO$_{2}$-treated PEO films as compared to PEO thin films prepared via thermal annealing: the flat-on lamellar orientation, where the molecular chains stand normal to the film surface, is formed within the entire film regardless of the film thickness and the evaporation temperature; the dewetting kinetics for the 20 nm thick films is much slower than that of the thicker films. We will discuss the origins in the presentation. [Preview Abstract] |
|
P1.00145: Reversible Shape Memory Jing Zhou, Qiaoxi Li, Sara Turner, Sarah Brosnan, Cary Tippets, Jan-Michael Carrillo, Dmytro Nykypnachuk, Oleg Gang, Andrey Dobrynin, Rene Lopez, Valerie Ashby, Sergei Sheiko Reversible shape memory has been achieved on various shapes, e.g. hairpin, origami, coil, robotic gripper and flow rate control device, allowing for multiple switching between encoded shapes without applying any external force. Also, the reversible photonic structure molded in dielectric elastomers has been designed. Maximum reversibility can be achieved by tuning the crosslinking density and the degree of crystallinity of semi-crystalline elastomers. Different crystallization protocols including isothermal and cooling crystallization have been applied to develop a universal picture integrating different shape memory (SM) behaviors: conventional one-way SM, two-way reversible SM, and one-way reversible SM. [Preview Abstract] |
|
P1.00146: Confined Crystallization in Poly(3-alkylthiophene)-containing Diblock Copolymers Emily Davidson, Victor Ho, Bryan Beckingham, Rachel Segalman Confined crystallization within a diblock copolymer of a conjugated, semiconducting poly(3-alkylthiophene) (P3AT) block has been achieved by selecting an alkyl side chain that significantly depresses the crystalline driving force relative to the self-assembly driving force. Here, we demonstrate flow alignment of these P3AT-containing diblocks. In addition, we show that the chain axis of the semicrystalline P3AT orients preferentially relative to domain interfaces; the degree of crystalline orientation may be controlled as a function of domain width. Further work will examine the role of thermal treatments on crystallite orientation and morphology within confinement. [Preview Abstract] |
|
P1.00147: Nano-architectures of flattened polymer chains at solid-polymer melt interface Xiaoyu Di, Jiaxun Wang, Naisheng Jiang, Maya K. Endoh, Tadanori Koga, Masafumi Fukuto, Takamichi Shinohara, Atsushi Takahara Our group has recently revealed that, by using x-ray/neutron reflectivity, irreversibly adsorbed polystyrene (PS) layers onto planar silicon substrates are composed of the two different nano-architectures: flattened chains that constitute the inner higher density region of the adsorbed layers and loosely adsorbed polymer chains that form the outer bulk-like density region. Here, we focus on the following two effects on the formation of the flattened layer: (i) intramolecular architectures and (ii) polymer/substrate interactions. Various surface sensitive techniques including in-situ x-ray/neutron reflectivity, grazing incidence X-ray diffraction, and atomic force microscope were utilized to illuminate the inherent chain architectures at the solid/polymer interface. [Preview Abstract] |
|
P1.00148: Slowing down of accelerated physical aging in ultrathin polymer films Qiyun Tang, Wenbing Hu, Simone Napolitano The investigation of physical aging in glassy polymer films has attracted great attention recently due to its potential application in polymer-based nano-devices. For thin polymer films, many experiments confirmed the accelerated physical aging behaviors by lowering the film thickness towards nanoscale. Here we demonstrate with molecular simulation that the accelerated aging behaviors in thin polymer films could be slowed down at the extremely low film thickness, which can be attributed to an inversed vacancy diffusion process caused by the sliding motion of chain molecules. Our results provide the direct evidence of the relationship between the sliding motions of short chain-fragments and the physical aging of ultrathin polymer films, and also identify the existence of a new confinement effect at the nanoscale. [Preview Abstract] |
|
P1.00149: Temperature Step Dewetting Method for Determination of Thin Film T$_{\mathrm{g}}$ Astrid Torres Arellano, Gregory McKenna A temperature step method for dewetting of thin films on liquid substrates first introduced by Wang and McKenna [J. Polym. Sci., Part B: Polym. Phys., \textbf{51}, (2013)] has been implemented for determination of the glass transition temperature T$_{\mathrm{g}}$ of thin polymer films. The dewetting method is an extension of work originally presented by Bodiguel and Fretigny [Phys. Rev. Lett., \textbf{97}, (2006)]. In the original method determination of thickness effects on T$_{\mathrm{g}}$ required separate tests for each film thickness. Because of the capability of using one single thin polymer film the temperature step approach reduces the experimental time for the overall determination of the T$_{\mathrm{g}}$ as a function of h. The current goal for the method is to do up to 8 temperature jumps on a single polymer film, thereby, obtaining the same information the 8 individual tests would have provided. Here we have used the novel method to investigate the dewetting of 3-arm polystyrene thin films floated on glycerol and have obtained T$_{\mathrm{g}}$ vs h behavior. The T$_{\mathrm{g}}$ reductions are compared to the previously presented by Wang and McKenna on linear polystyrene films and comparisons are made on the role of the polymer architectures. [Preview Abstract] |
|
P1.00150: Equilibrium chain conformations of bound polymers at the polymer melt/solid interface Mani Sen, Naisheng Jiang, Levent Sendogdular, Maya Endoh, Tadanori Koga We report the equilibrium conformations of bound polymer chains formed on planar solids. In this study, bound polystyrene (PS) layers onto silicon (Si) substrates were used as a model system. Three 50 nm-thick PS thin films were prepared by using different film processes (i.e., spin coating, dip coating, and floating) following prolonged thermal annealing and subsequent solvent leaching. The structures of the bound layers on Si were then characterized by using x-ray reflectivity and atomic force microscopy. We found that the adsorption kinetics for the dip coating film is much longer than that for the spun cast film or the floating film. It was also found that all the bound PS layers are composed of two different nanoarchitectures: flattened chains that constitute the inner higher density region of the bound layers and loosely adsorbed polymer chains that form the outer bulk-like density region. [Preview Abstract] |
|
P1.00151: Influence of Irreversible Adsorption on the Glass Transition Temperature of Polymer Thin Films as Measured by Fluorescence Mary Burroughs, Rodney Priestley Polymers confined to the nanometer length scale have been shown to exhibit deviations in the glass transition temperature (T$_{\mathrm{g}})$ from the bulk. With the increasing use of confined polymers in nanotechnology, understanding and predicting this behavior is extremely relevant to industries ranging from pharmaceuticals to organic electronics. Recent work (Napolitano, W\"{u}bbenhorst, Nature Communications, 2, 260 (2011)) has connected deviations in T$_{\mathrm{g}}$ under confinement with irreversible physical adsorption of polymer chains at substrate interfaces. Here we investigate the influence of irreversibly adsorbed layers on the T$_{\mathrm{g}}$ of polystyrene (PS) thin films supported on silica via fluorescence. We examine the T$_{\mathrm{g}}$ of the brushes as a function of annealing time and irreversibly adsorbed layer thickness. By incorporating fluorescently labeled polymer layers into multilayered films of unlabeled polymer, we will examine the influence of brushes on adjacent layers dynamics. Finally, we will compare the results on PS with those of poly(methyl methacrylate). [Preview Abstract] |
|
P1.00152: Evaluation of nanoindentation model for viscoelastic model: Improvements to current model Meiyu Zhai, Gregory McKenna Nanoindentation is an increasingly used method of extracting surface mechanical properties of viscoelastic materials, especially polymers. Recently Hutcheson and McKenna [Phys.Rev.Lett.\textbf{94}, 07613 (2005)] used a viscoelastic contact mechanics model to analysis the contact problem between a nanosphere and polystyrene surface. In their work, the force applied on the sphere was determined by the surface tension interaction between the sphere and material surface. In nanoindentation experiments the ramp loading test is a similar problem to the particle embedment experiment except that the indentation load function differs. The motivation in this work is to explore an analysis method for indentation test based on Hutcheson and McKenna's work on particle embedment. We show that performing a test sequence that includes multiple rates of loading spanning two or more orders of magnitude greatly improves the extracted viscoelastic properties. [Preview Abstract] |
|
P1.00153: Characterization of actin filament deformation in response to actively driven microspheres propagated through entangled actin networks Tobias Falzone, Savanna Blair, Rae Robertson-Anderson The semi-flexible biopolymer actin is a ubiquitous component of nearly all biological organisms, playing an important role in many biological processes such as cell structure and motility, cancer invasion and metastasis, muscle contraction, and cell signaling. Concentrated actin networks possess unique viscoelastic properties that have been the subject of much theoretical and experimental work. However, much is still unknown regarding the correlation of the applied stress on the network to the induced filament strain at the molecular level.~Here, we use dual optical traps alongside fluorescence microscopy to carry out active microrheology measurements that link mechanical stress to structural response at the micron scale. Specifically, we actively drive microspheres through entangled actin networks while simultaneously measuring the force the surrounding filaments exert on the sphere and visualizing the deformation and subsequent relaxation of fluorescent labeled filaments within the network. These measurements, which provide much needed insight into the link between stress and strain in actin networks, are critical for clarifying our theoretical understanding of the complex viscoelastic behavior exhibited in actin networks. [Preview Abstract] |
|
P1.00154: Ergodicity Breaking in Charge Transport and Single Particle Tracking John Lapeyre |
|
P1.00155: Block Copolymer Droplets: The Interplay of Surface Energy and Ordering Su-Mi Hur, Abelardo Ramirez-Hernandez, M. Serdar Onses, Paul Nealey, John A. Rogers, Juan J. de Pablo Monte Carlo simulations of a coarse-grained model are used to explore the morphology of block copolymer droplets on a flat substrate. The characteristic size of the droplets is on the order of several natural periods of the block copolymer morphology in the bulk. In this regime, the equilibrium morphologies inside the droplets and their shapes arise from a subtle interplay of interfacial and bulk contributions to the free energy. While a simple fluid droplet on a flat substrate exhibits a spherical cap shape, nanostructured block copolymer droplets are found to adopt unusual shapes, such as conical-shaped droplets with a terraced surface or pancake-shaped droplets. Our simulation results predict non-circular arrangements, with perpendicular domains, when the block copolymer is deposited on a neutral substrate regardless of size. However, when a preferential substrate is used, a dependence on droplet size is observed: block copolymers form a pancake-shaped droplet with a ring of perpendicular domains along the perimeter for large droplets, while they exhibit perpendicular domains when the droplet is small. These results are in very good agreement with experiments. [Preview Abstract] |
|
P1.00156: Inertia- and deformation drive soft particle migration in finite Reynolds number flow Yeng-Long Chen Cross-stream migration of soft, deformable particles under simple shear and Poiseuille flow in a microchannel is investigated by hybrid Langevin dynamics - lattice Boltzmann method. At low shear rate, inertia- driven migration due to interfacial frictional stress and deformation-driven migration due to elastic point-dipole are determined. The migration velocity is found to agree with the predictions of Leal et al. (1974, 1979). At moderate shear rates, the migratory velocity is sub-linearly dependent on the particle Reynolds number ($Re$) and the Weissenberg number ($Wi$). The non-linear coupling between particle inertia and deformation leads to a migration-free zone in the channel center and no fixed steady state position. [Preview Abstract] |
|
P1.00157: Polymeric nanoparticle formation by non-solvent introduction Dona Foster, Zhengnan Yang, Ali Dhinojwala Polymeric nanoparticles have found use in applications as diverse as coatings, microelectronics and drug delivery. Formation of a consistent particle with narrow tolerances offers even greater possibilities and diversity of application. This work focuses on a better understanding of the multiphase nanoparticle formation process. Physical interactions among polymer, solvent and non-solvent influence size, shape, distribution, and ease of nanoparticle formation and separation. The initial concentration of polymer in solvent is shown to correlate to the size and size distribution of particles. Adopting a continuous flow system broadens the array of design parameters to include temperature, solvent combination and flow conditions. Design parameters are correlated to nanostructure in order to control and optimize particle formation based on the specific physical properties desired. [Preview Abstract] |
|
P1.00158: Distribution of short block copolymer chains in Binary Blends of Block Copolymers Having Hydrogen Bonding Jongheon Kwak, Sunghyun Han, Jin Kon Kim A binary mixture of two block copolymers whose blocks are capable of forming the hydrogen bonding allows one to obtain various microdomains that could not be expected for neat block copolymer. For instance, the binary blend of symmetric polystyrene-block-poly(2-vinylpyridine) copolymer (PS-b-P2VP) and polystyrene-block-polyhydroxystyrene copolymer (PS-b-PHS) blends where the hydrogen bonding occurred between P2VP and PHS showed hexagonally packed (HEX) cylindrical and body centered cubic (BCC) spherical microdomains. To know the exact location of short block copolymer chains at the interface, we synthesized deuterated polystyrene-block-polyhydroxystyrene copolymer (dPS-b-PHS) and prepared a binary mixture with PS-b-P2VP. We investigate, via small angle X-ray scattering (SAXS) and neutron reflectivity (NR), the exact location of shorter dPS block chain near the interface of the microdomains. [Preview Abstract] |
|
P1.00159: The Phase Behavior of Polystyrene-b-Poly(2-vinylpyridine) System in Thin Film Geometry Yoonkeun Kim, Kyosung Koo, Kyunginn Kim, Hyungju Ahn, Du Yeol Ryu The thickness-dependent phase transitions of polystyrene-block-poly(2-vinylpyridine) (PS-$b$-P2VP) in the films was studied and compared with the melt using small-angle X-ray scattering (SAXS) and grazing incidence small-angle X-ray scattering (GISAXS). The PS-$b$-P2VP melt presented the sequential OOT transitions, composed of hexagonally perforated layer (HPL)-gyroid (GYR)-disordered phase (DIS) with increasing temperature, while the PS-$b$-P2VP films showed a different morphological transition pathway by decreasing film thickness. It was attributed to the film thickness effect of interfacial interactions on the order-to-order transition (OOT) and order-to-disorder transition (ODT) particularly in the 3-dimensional network structure of GYR. [Preview Abstract] |
|
P1.00160: Fabrication of Network Structure in Block Copolymer Thin Films by Solvent Annealing and Ultrafiltration Ability Sungmin Park, Hyungju Ahn, Young Hun Kim, Pil J. Yoo, Byeongdu Lee, Du Yeol Ryu Block copolymer (BCP) structure in nanoscale has attracted much interest due to the needs for various well-defined structures such as photonic crystals, separations, and solar cells. In this study, the thin films of asymmetric polystyrene-block-polymethyl methacrylate (PS-$b$-PMMA) with high molecular weight were prepared and exposed to a neutral solvent vapor. The time-dependent morphologies were investigated using Atomic Force Microscopy (AFM), Scanning Electron Microscopy (SEM) and Grazing Incidence small-angle X-ray scattering (GISAXS). We observed the structural development from a frozen state to hexagonal cylindrical and gyroid structures in the BCP films during solvent vapor annealing process, where the membrane performance was compared between the two morphologies. [Preview Abstract] |
|
P1.00161: Directed Self-Assembly of Cylinder Forming Block Copolymers over Large Areas Using Minimal Topographic Patterning Jaewon Choi, Kenneth Carter, Thomas Russell We demonstrate the directed self-assembly of block copolymers (BCPs) on topographically patterned substrates. Unlike deep trench patterned substrates, which BCPs microdomains are trapped within trenches after self-assembly, we fabricated shallow trench patterned substrates where the depth of trench was smaller than the domain spacing of BCPs. The pitches of patterns were varied to investigate the guiding effect of shallow trenches on the ordering of BCPs. The thin films of cylinder forming poly(styrene-b-ethylene oxide) (PS-b-PEO) were prepared on the shallow trench patterned substrates. Unidirectionally aligned line patterns over large areas were obtained by solvent vapor annealing. The line edge roughness (LER) and line width roughness (LWR) were investigated. When PS-b-PEO was thermally annealed on the shallow trench patterned substrates, hexagonally packed PEO microdomains oriented normal to the surface were maintained over large areas. The shallow trench patterned substrates may provide an easy manner to overcome the limitation of grain size of BCPs owing to deep trench patterns and achieve perfection in the lateral ordering of BCPs. [Preview Abstract] |
|
P1.00162: Spin-On Organic Polymer Dopants for Silicon Bhooshan Popere, Megan Hoarfrost, Andrew Heitsch, Peter Trefonas, Rachel Segalman Conventional doping of crystalline Si via ion implantation results in a stochastic distribution of doped regions in the x-y plane along with relatively poor control over the penetration depth of dopant atoms. As the gate dimensions shrink to 10 nm, the related device parameters also need to be scaled down to maintain electrical activity. Thus, highly doped, abrupt, ultra-shallow junctions are imperative for source-drain contacts to realize sub-10 nm transistors. Uniform ultra-shallow junctions can be achieved via monolayer doping, wherein thermal diffusion of a self-limiting monolayer of dopant atom-containing organic on Si surface yields sub-5 nm junctions. We have extended the use of organic dopant molecules in the monolayer doping technique to introduce a new class of spin-on polymer dopants. In effect, these new spin-on dopants offer a hybrid between the monolayer doping technique and traditional inorganic spin-on dopants. We have been able to uniformly introduce p- and n-type dopants with doping efficiencies comparable to the monolayer doping technique. Control over junction depth can be easily achieved via optimizing annealing temperature and time. [Preview Abstract] |
|
P1.00163: Highly Loaded Mesoporous Silica/Nanoparticle Composites and Patterned Mesoporous Silica Films Rohit Kothari, Nicholas R. Hendricks, Xinyu Wang, James J. Watkins Novel approaches for the preparation of highly filled mesoporous silica/nanoparticle (MS/NP) composites and for the fabrication of patterned MS films are described. The incorporation of iron platinum NPs within the walls of MS is achieved at high NP loadings by doping amphiphilic poly(ethylene oxide-b-propylene oxide-b-ethylene oxide) (Pluronic\textregistered ) copolymer templates via selective hydrogen bonding between the pre-synthesized NPs and the hydrophilic portion of the block copolymer. The MS is then synthesized by means of phase selective condensation of tetraethylorthosilicate (TEOS) within the NP loaded block copolymer templates dilated with supercritical carbon dioxide (scCO$_{2})$ followed by calcination. For patterned films, microphase separated block copolymer/small molecule additive blends are patterned using UV-assisted nanoimprint lithography. Infusion and condensation of a TEOS within template films using ScCO2 as a processing medium followed by calcination yields the patterned MS films. Scanning electron microscopy is used characterize pattern fidelity and transmission electron microscopy analysis confirms the presence of the mesopores. Long range order in nanocomposites is confirmed by low angle x-ray diffraction. [Preview Abstract] |
|
P1.00164: Alignment and Reordering of a Block Copolymer by Solvent-Enhanced Laser Thermal Direct Write Jonathan Singer, Kevin Gotrik, Jae-Hwang Lee, Steven Kooi, Caroline Ross, Edwin Thomas We present an approach for performing rapid local annealing of block copolymer thin films by focused laser spike (FLaSk) zone annealing using a highly-focused circularly polarized visible wavelength laser spot. The absorption of the underlying substrate generates a thermal spike possessing extreme spatial and, with sample motion, temporal gradients (estimated as 100-750 K/$\mu$m and 3,000-75,000 K/s respectively depending on write speed, power, and laser focus). Using these gradients as a driving force for annealing of microphase separation and alignment of the microdomains, a polystyrene-polydimethylsiloxane block copolymer was transformed from a metastable spherical micelle morphology to the bulk equilibrium cylindrical morphology, aligned along the write direction within a region controlled by manipulation of the laser focal plane. The efficacy of this process was further enhanced by incorporation of solvent swelling of the film with toluene vapor by expected mobility, surface energy, and cooling effects. This simultaneous microdomain reordering and alignment was accomplished on the tens of millisecond time scale with larger temporal gradients leading to the highest level of alignment. [Preview Abstract] |
|
P1.00165: Solution Processing of Ordered Thin Film Nanowire Composites by Magnetic Field Alignment Jonathan Singer, Candice Pelligra, Su Huang, Chinedum Osuji Vertically aligned nanowire forests are a desirable geometry for many applications, including as electrodes, heterojunctions, and high surface energy interfaces. Most conventional aligned nanowire structures, however, are generated by methods that require (i) high temperatures, (ii) a specific substrate, or (iii) high cost lithographic techniques. We seek to utilize the magnetic alignment of cobalt-doped zinc oxide nanowires to enable the solution processing of thin films of aligned nanowires on a generalized substrate at a fraction of the cost of other methods. By functionalization of the nanowires with various surface modifications, they can be dispersed in several solvent systems and aligned by a 6 T field. Further, by including polymer in the wire solution, we can both control the areal density and also incorporate additional functionalities to the final composite device. As an example, the use of a conjugated polymer (such as poly(3-hexylthiophene-2,5-diyl) (P3HT)) allows for the final structures to act as inorganic-organic ordered heterojunction solar cells. While final device quality depends on the simultaneous optimization of several key processing parameters, the process does not rely on top-down fabrication or costly materials. [Preview Abstract] |
|
P1.00166: Controlling Lateral Ordering of Block Copolymer Micelles on Nano-patterned Surface Dong-Eun Lee, Dong Hyun Lee For nano-patterning based on self-assembly of block copolymers (BCPs), it is one of key issues to control both size and separation distance of BCP nanodomains. In this study, we demonstrate a unique method to control spatial arrays of BCP nanodomains by using nano-patterned surface produced from ordered BCP thin film. Firstly, thin films of an asymmetric polystyrene-block-poly(2-vinlypyridine) copolymer (S2VP) were prepared on water-soluble poly(vinyl alcohol) (PVA) films. After solvent-annealing to obtain either parallel or perpendicular orientation of P2VA cylinders on the PVA surface, BCP ordered structures were transferred to underlying PVA layer by oxygen RIE. Then resulting nanoporous PVA films were directly used as templates to control lateral spacing of BCP micelles. Secondly, the micelles of polystyrene-block-poly(4-vinlypyridine) copolymer (S4VP) were deposited on the PVA film and spontaneously placed in its nano-pores. Consequently, well-defined micelle arrays of S4VP with the lateral ordering of S2VP were achieved. In addition, as PVA films were completely removed by washing with water and BCP micelles were just remained. We utilized these BCP micelles as templates to fabricate nanoparticle arrays. [Preview Abstract] |
|
P1.00167: Rapid thermal processing of self-assembling block copolymer thin films on flat surfaces and topographically defined patterns Michele Perego, Federico Ferrarese Lupi, Tommaso J. Giammaria, Gabriele Seguini, Valentina Gianotti, Diego Antonioli, Katia Sparnacci, Michele Laus, Emanuele Enrico, Natascia De Leo, Luca Boarino, Christopher K. Ober Self-assembling block copolymers generate nanostructured patterns, which are potentially useful for a wide range of applications. However, their technological implementation is prevented by the very long time required to drive the process. In this contribution, we demonstrate the capability to control the morphology of the self-assembling process of cylinder forming PS-b-PMMA diblock copolymer (DBC) thin films deposited on un-patterned and topographically patterned surfaces by means of a Rapid Thermal Processing (RTP) machine. Highly ordered patterns were obtained on flat surfaces for perpendicular-oriented cylindrical PS-b-PMMA block copolymers in less than 60 s. The BCs morphology evolution within topographically defined structures was systematically investigated as well. Irrespective of the surface neutralization, an irreversible orientational flipping of the BCP microdomains inside the trenches was observed. This effect was attributed to de-swelling of the polymeric film as a consequence of a progressive desorption of the solvent retained inside the film. [Preview Abstract] |
|
P1.00168: Flash Grafting of Functional Random Copolymers for Surface Neutralization Michele Perego, Federico Ferrarese Lupi, Tommaso J. Giammaria, Gabriele Seguini, Monica Ceresoli, Diego Antonioli, Valentina Gianotti, Katia Sparnacci, Michele Laus Tailoring surface energies is the key factor to control the orientation of nanoscopic structures in thin block copolymer (BCP) films in view of the possible integration into next generation lithographic processes. In the general frame of the ``grafting to'' approach, this paper reports on the use of Rapid Thermal Processing (RTP) technology to perform flash grafting reactions of a hydroxyl terminated polystyrene-$r$-methylmethacrylate random copolymer to the activated silicon wafer surface. The perpendicular orientation of the cylinder morphology of an asymmetric PS-$b$-PMMA block copolymer is achieved when the thickness of the random copolymer layer is higher than 6.0 nm. The grafting time to achieve this thickness reduces from about 750 s, when the RTP grafting process is performed at 230 $^{\circ}$C, to 15 s at 310 $^{\circ}$C. In addition, TGA-GC-MS analysis indicates that the chain structural reorganization, which occurs during the RTP treatments, affords a more stable film structure without changing its surface characteristics. In this work we investigate the~early stages and on the dynamic of the grafting processes on time scales and in temperature ranges that have never been explored before. [Preview Abstract] |
|
P1.00169: New approach for producing chemical templates over large area by Molecular Transfer Printing Takejiro Inoue, Dustin Janes, Jiaxing Ren, Grant Willson, Christopher Ellison, Paul Nealey Fabrication of well-defined chemically patterned surfaces is crucially important to the development of next generation microprocessors, hard disk memory devices, photonic/plasmonic devices, separation membranes, and biological microarrays. One promising patterning method in these fields is Molecular Transfer Printing (MTP), which replicates chemical patterns with feature dimensions of the order of 10nm utilizing a master template defined by the microphase separated domains of a block copolymer thin film. The total transfer printing area achievable by MTP has so far been limited by the contact area between two rigid substrates. Therefore, strategies to make conformal contact between substrates could be practically useful because a single lithographically-defined starting pattern could be used to fabricate many replicates by a low-cost process. Here we show a new~approach that utilizes a chemically deposited SiN layer and a liquid conformal layer to enable transfer printing of chemical patterns upon thermal annealing over large, continuous areas. We anticipate that our process could be integrated into Step and Flash Imprint Lithography (SFIL) tools to achieve conformal layer thicknesses thin and uniform enough to permit pattern transfer through a dry-etch protocol. [Preview Abstract] |
|
P1.00170: Control over block copolymer interfaces and profiles in thin films for pattern transfer applications Chunlin He, Mark Stoykovich Block copolymer lithography provides an attractive route to the fabrication of nanoscale structures such as nanowires and nanodots. The chemical and thermal stability of the block copolymer morphology in thin films is critical for the generation of robust templates for subsequent pattern transfer and fabrication processes, and can be improved by cross-linking of the block copolymer domains. Atom transfer radical polymerization was used to synthesize PS/PMMA block copolymers with cross-linkable units capable of reacting through an acid-catalyzed mechanism or by photoinitiation with UV exposure. The cross-linked nanostructures have been shown to exhibit enhanced solvent and thermal stability. We have subsequently developed approaches to decouple the self-assembly process from the cross-linking reaction, enabling the design of block copolymer structures in thin films with diverse structural and interfacial control in three-dimensions (3D). In one example, using a layer-by-layer approach, we have self-assembled block copolymer morphologies with a 3D undercut profile to assist in lift-off processes for pattern transfer to metal nanostructures. [Preview Abstract] |
|
P1.00171: Ionic Conductivity and Gas Permeability of Polymerized Ionic Liquid Block Copolymer Membranes Christopher Evans, Gabriel Sanoja, Yanika Schneider, Miguel Modestino, Rachel Segalman Polymer membranes for many energy applications, such as solar-to-hydrogen fuel production, require ionic conductivity while acting as gas diffusion barriers. We have synthesized a diblock copolymer consisting of poly(styrene-block-(4-(2-methacrylamidoethyl)-imidazolium trifluoroacetate) by treating poly(styrene-block-histamine methacrylamide) (PS-$b$-PHMA) with trifluoroacetic acid. The PS block serves as the structural support while the imidazolium derivative is an ion conducting polymerized ionic liquid (PIL). Small angle X-ray scattering and transmission electron microscopy demonstrate that the block copolymer self-assembles into well-ordered nanostructures, with lamellae and hexagonally packed cylindrical morphologies. The ionic conductivities of the PS-b-PHMA materials were as high as 2 x 10$^{-4}$ S/cm while an order of magnitude increase in conductivity was observed upon conversion to PS-b-PIL. The ionic conductivity of the PS-b-PIL increased by a factor of $\sim$ 4 up to 1.2 x 10$^{-3}$ S/cm as the PIL domain size increased from 20 to 40 nm. These insights allow for the rational design of high performance ion conducting membranes with even greater conductivities via precise morphological control. Additionally, the role of thermal annealing on the ionic conductivity and gas permeability of copolymer membranes was investigated. [Preview Abstract] |
|
P1.00172: Highly Conductive, Stretchable, and Transparent Solid Polymer Electrolyte Membrane Ruixuan He, Mauricio Echeverri, Thein Kyu With the guidance of ternary phase diagrams, completely amorphous polymer electrolyte membranes (PEM) were successfully prepared by melt processing for lithium-ion battery. The PEM under consideration consisted of poly (ethylene glycol diacrylate) (PEGDA), succinonitrile (SCN) and Lithium bis(trifluoro-methane)sulfonamide (LiTFSI). After UV-crosslinking, the PEM is transparent and light-weight. Addition of SCN plastic crystal affords not only dissociation of the lithium salt, but also plasticization to the crosslinked PEGDA network. Of particular importance is the achievement of room-temperature ionic conductivity of $\sim$10$^{-3}$ S/cm, which is comparable to that of commercial liquid electrolyte. Higher ionic conductivities were achieved at elevated temperatures or with use of a moderately higher molecular weight of PEGDA. In terms of electrochemical and chemical stability, the PEM exhibited oxidative stability up to 5 V against lithium reference electrode. Stable interface behavior between the PEM and lithium electrode is also seen with ageing time. In the tensile tests, samples containing low molecular weight PEGDA are stiffer, whereas the high molecular weight PEGDA is stretchable up to 80\% elongation. [Preview Abstract] |
|
P1.00173: Flexible solid polymer electrolyte membran formed by photopolymerization Jinwei Cao, Thein Kyu Binary and ternary phase diagrams of poly(ethylene glycol) dimethacrylate (PEGDMA,succinonitrile(SCN), and bis(trifluoromethane)sulfonimide (LiTFSI) blends have been established to provide guidance to fabricationof polymer electrolyte membrane (PEM). The phase diagram of binary PEGDMA/SCN mixture is of a typical eutectic typ, whereas the binary PEGDMA/LiTFSI mixture reveals a eutectic trend exhibiting a wide single phase region at intermediate composition. Likewise, the ternary phase diagram of PEGDMA/SCN/LiTFSI mixture shows a wide isotropic regio. The PEM network, formed by UV-crosslinking of PEGDMA in the isotropic region, is a solid amorphous network, but flexible and stretchable. Ion conductivity of PEMwas measured as a function of temperature at different ratios of PEGDMA/SCN and SCN/LiTFSI. Of particular importance is that these PEM networks possessvery high roo-temperature ion conductivity on the order of 10$_{-3}$ S cm$_{-1}$, which reaches the level of 10$_{-2}$ S cm$_{-1}$ at elevated temperatures of 60-70 $^{\circ}$C. The electrochemical stability of the solid PEM will be evaluated by cyclic voltammetry and its potential applicabilityinflexible lithium ion battery will be discussed. [Preview Abstract] |
|
P1.00174: Quantifying the Solid State Charge Transport Characteristics of Radical Polymers Aditya Baradwaj, Lizbeth Rostro, Bryan Boudouris Radical polymers are an emerging class of functional macromolecules that have shown immense potential to transport charge in electrolyte-supported applications. However, quantifying the ability of these non-conjugated macromolecules to conduct charge has not been as well-studied in the solid state. Here, we present the characterization of the charge transport capability of a radical polymer, poly(2,2,6,6-tetramethylpiperidinyloxy methacrylate) (PTMA) with well-defined molecular properties in the solid state. We show that charge transport occurs across the singularly occupied molecular orbital (SOMO) level of PTMA, and that this level is 5.2 eV removed from free vacuum. Additionally, we have measured the space-charge limited hole and electron mobility values of PTMA. We find that the mobility values of these radical polymers are of the same order (10$^{\mathrm{-4}}$ cm$^{\mathrm{2}}$ V$^{\mathrm{-1}}$ s$^{\mathrm{-1}})$ of many common conjugated polymers [$e.g.$, poly(3-hexylthiophene) (P3HT)]. Furthermore, because the polymer backbone is non-conjugated, these macromolecules are extremely transparent. As such, we anticipate that radical polymers could become an important component of many transparent flexible electronic applications. [Preview Abstract] |
|
P1.00175: Organotin polymeric dielectrics for energy-storage applications Huan Tran, Arun Kumar, Chenchen Wang, Aaron Baldwin, Rui Ma, Gregory Sotzing, Rampi Ramprasad We present a first-principles study on a family of organotin polymers which are based on -COO-Sn(CH$_3$)$_2$-OCC-(CH$_2$)$_n$ as the repeating unit (here $n=0-10$). Among several members of this family which were recently synthesized, poly(dimethyltin glutarate) (corresponding to $n=3$), is a promising candidate for high energy-density materials.\footnote{A. Baldwin {\it et al.}, submitted.} Given that the composition of each polymer is provided, we use the minima-hopping method to predict their stable structures. While the structural motifs predicted for these polymers are observed in several related existing polymers, other calculated physical properties, e.g., band gap $E_{\rm g}$ and dielectric constant $\epsilon$, agree well with the experimental data obtained for the synthesized members. Comparing to polypropylene, the standard material for high energy-density applications, these polymers have smaller calculated $E_{\rm g}$ but significantly higher calculated $\epsilon$. Overall, this whole family of organotin polymers are all promising for the purpose of capacitively storing energy. Our work is supported by the Office of Naval Research through the Multidisciplinary University Research Initiative (MURI). [Preview Abstract] |
|
P1.00176: Fast Polymer Dynamics and Ion Aggregates in a Model Single Ion Conductor Christopher Soles, Madhusan Tyagi, Huagen Peng, Jenny Kim, Jim Runt In this presentation we explore the fast dynamics of a polymeric single ion conductor using both the Disc Chopper Spectrometer (DCS; ps time scales) and the High Flux Backscattering Spectrometer (HFBS; ns time scales) at the NIST Center for Neutron Research. The model system studied here is a block copolymer consisting of runs 13 ethylene oxide repeat units separated by an isophthalate group functionalized with a sodium sulfonate salt. In these systems the sulfonate groups are bound as an in-chain anion, leaving the sodium cation as the only mobile ion in the system. We find that the quasielastic neutron scattering spectra across the two spectrometers can be consistently fit with a total of 3 different relaxation processes. The slowest, least mobile of the 3 processes looks purely diffusive and shows a normal thermal activation. The fastest, most mobile process shows strong signs of confinement; the FWHM of the relaxation is almost independent of Q, suggesting a caged type motion, and also shows signs of normal thermal activation. However, the intermediate process falls between the extremes of confinement and free diffusion. The motion is characteristic of jump diffusion with a characteristic residence time and jump length. Furthermore, there is clear evidence of this motion slowing down upon heating, the opposite of normal thermal excitation. This slowing down with upon heating is correlated with the appearance of ionic aggregates in the temperature dependent X-ray scattering measured by the Winey Group. [Preview Abstract] |
|
P1.00177: The Effect of Nanoparticles on the Thermal Transitions of Hydrated Layer-by-Layer Assemblies Joseph Puhr, Jodie Lutkenhaus The incorporation of nanoparticles into layer-by-layer (LbL) assemblies has been shown to impart functionalities that are useful in a number of applications. However very little is known regarding the effect of nanoparticles on an LbL film's properties. In a previous study involving nanoparticle-free LbL films of the strong polyelectrolytes, poly(diallyldimethylammonium chloride)/poly(styrene sulfonate) (PDAC/PSS), we observed a thermal transition akin to a glass transition using quartz crystal microblance with dissipation (QCM-D) and modulated differential scanning calorimetry (MDSC). In the work presented here, layers of negatively charged nanoparticles of either spherical or platelet morphology have been inserted at varying locations throughout PDAC/PSS LbL films assembled. QCM-D and MDSC were used to determine the effect that these nanoparticles have on the previously measured thermal transitions as a function of placement within the film and particle shape. Additionally, the Sauerbrey and the Voigt models were utilized to gain an insight into the film properties during both the assembly and the thermal analysis experiments. [Preview Abstract] |
|
P1.00178: Effect of Matrix Polydispersity on Morphology of Hybrid Materials Consisting of Homopolymer Grafted Nanoparticles in a Homopolymer Matrix Tyler Martin, Arthi Jayaraman The morphology of materials consisting of homopolymer grafted particles in a homopolymer matrix is driven by the features of the composite, namely graft and matrix chain lengths and grafting density, which drive wetting/dewetting of the grafted layer. In our previous work, we showed that polydisperse grafted polymers stabilize the dispersed morphology of homopolymer grafted nanoparticles in a chemically identical homopolymer matrix, due to improved wetting of the polydisperse grafted layer by the monodisperse matrix. Here, we present our computational work showing the effect of polydispersity in matrix polymers. Specifically, in a bidisperse matrix, the short matrix chains preferentially wet the monodisperse grafted layer (at high grafting density) more than the long matrix chains. Additionally, this preferential wetting of the grafted layer by the short matrix chains is driven by the ratio of the long chain to short chain lengths, or the bidispersity index. Despite improved wetting of the grafted layer by the short matrix chains, the bidisperse matrix only slightly improves grafted particle dispersion in the matrix due to competing depletion-like attractive interactions induced by the long matrix chains. [Preview Abstract] |
|
P1.00179: A Random Approach to Co-Continuous Packing of Dissimilar Nanoparticles Xiaobo Shen, Irem Kosif, Todd Emrick, Dhandapani Venkataraman, Thomas Russell To develop a novel nanoparticle self-assembly based approach for the preparation of co-continuous morphologies for organic photovoltaic applications, the nature and characteristics of the interactions and packing between dissimilar nanoparticles must be understood. Organic and inorganic nanoparticles, polystyrene (PS) and silica (SiO$_{2})$, respectively, were prepared with different sizes and surface functionalities. Consequently, the inter-particle interactions were tuned and composite coatings comprising binary mixtures of nanoparticles were fabricated by evaporation-assisted methods. The packing structure of dissimilar nanoparticles was characterized by scanning electron microscopy and laser scanning confocal microscopy and shown to be dependent on the inter-particle interaction, the ratio of particle sizes and the kinetics of the assembly. In the regime of hard sphere interactions, a co-continuous packing structure results from the random mixing behavior of the dissimilar nanoparticles. [Preview Abstract] |
|
P1.00180: Assembly of Acid-Functionalized Single-Walled Carbon Nanotubes at Oil/Water Interfaces Tao Feng, David Hoagland, Thomas Russell The segregation of water-soluble acid-functionalized single-walled carbon nanotubes (SWCNTs) at the oil/water interface was induced by dissolving low-molecular-weight amine-terminated polystyrene (PS-NH2) in the oil phase. Salt-bridge interactions between carboxylic acid groups of SWCNTs and amine groups of PS drove assembly of a mixed interfacial film, monitored by pendant drop tensiometry and laser scanning confocal microscopy. The influence of PS end-group functionality, PS and SWCNT concentrations, and degree of SWCNT acid modification on interfacial activity were assessed, and a sharp drop in interfacial tension was observed above a critical SWCNT concentration. Interfacial tensions were low enough to support stable oil/water emulsions. Further experiments, including potentiometric titrations and replacement of SWCNTs by other carboxyl-containing species, demonstrated that the interfacial tension drop reflects the loss of SWCNT charge as pH falls near/below the intrinsic carboxyl dissociation constant; species lacking multivalent carboxylic acid groups are inactive. The interfacial assemblies of SWCNTs appear neither ordered nor oriented. [Preview Abstract] |
|
P1.00181: Self-Assembly of Ordered Hybrid Materials with over 100 nm Domain Spacings and up to 15 nm Nanoparticles using Bottle Brush Block Copolymers Dongpo Song, Ying Lin, Gang Qian, Xinyu Wang, Xiaohui Liu, Cheng Li, James Watkins The preparation of well-ordered nanocomposites using block copolymers and nanoparticles (NPs) with precise control over their spatial organization at different length scales remains challenging, especially for NP cores up to 10 nm in diameter and for domain spacings greater than 100 nm. In this work, these challenges have been overcome using amphiphilic bottle brush block copolymers as templates for the self-assembly of ordered, periodic hybrid materials with domain spacings more than 130 nm using functionalized NPs with core diameters up to 15 nm. CdSe NPs of 10 nm or gold NPs of 15 nm bearing 11-mercaptoundecyl-hydroquinone or poly(4-vinylphenol) ligands were selectively incorporated within (polynorbornene-g-polystyrene)-b- (polynorbornene-g-polyethylene oxide) copolymers by taking advantage of hydrogen bonding between the ligand and PEO domain. Well-ordered composites with cylindrical and lamellar morphologies and NP loadings of up to 30 wt{\%} in the target domains were achieved. This strategy provides a simple and robust means to create ordered hybrid materials of large domain spacings allowing for relatively large functional nanoparticles. [Preview Abstract] |
|
P1.00182: Additive-Driven Assembly of Well-Ordered Block Copolymer/Carbon nanotube Membranes Feyza Dundar, Ying Lin, James Watkins Single-walled carbon nanotubes (SWNTs) exhibit unique properties desirable for high-performance materials and devices. Large-scale assembly of SWNTs into densely aligned forms is important to future manufacturing. Despite progress in oriented synthesis through chemical vapor deposition, electrospinning and assembly methods including the Langmuir-Blodgett approaches, and external fields, the alignment of SWNTs, particularly within polymer composites remains a challenge. Herein, we describe an additive-driven assembly method[1,2] that exploits strong, selective H-bonding interactions between SWCNTs with a specific domain of block copolymers. For example, we demonstrate alignment of SWCNTs in a lamellar forming poly(styrene-b-2-vinylpyridine) (PS-b-P2VP) diblock copolymer. Several annealing techniques were assessed. Our method for SWCNTs alignment is straightforward, solution processable, readily scalable and amenable to roll-to-roll processing. REFERENCES: [1] Lin, Y.; Daga, V. K.; Anderson, E. R.; Gido, S. P.; Watkins, J. J. J.Am. Chem. Soc. 2011, 133, 6513 [2] Lin, Y.; Wei, Q.S.; Gang, Q.; Watkins, J. J. Macromolecules, 2012, 45, 8665 [Preview Abstract] |
|
P1.00183: Tin-based inorganic-organic hybrid polymers for high energy-density applications Huan Tran, Arun Kuma, Ghanshyam Pilania, Rampi Ramprasad In one of our recent works\footnote{A. Baldwin {\it et al.}, submitted.}, an organotin polymer was synthesized and suggested to be promising polymeric dielectric, simultaneously exhibiting a high dielectric constant $\epsilon$ and a high band gap $E_{\rm g}$. Motivated by this result, we study a family of inorganic-organic hybrid polymers based on ${\rm -(SnF_2)}_x{\rm -(CH_2)}_y-$ as the repeating structural unit ($x=2$, $y=4,~8$, and $12$). The stable structures of these hybrid polymers, predicted by the minima-hopping method, are studied by first-principles calculations at the level of density functional theory. Our calculations show that these polymers are wide band gap materials (up to 6.07 eV). In addition, their dielectric constants are between 4.6 and 7.8, well above that of polypropylene ($\epsilon\simeq 2.2$), the standard dielectric material for high energy-density capacitors. Therefore, we suggest that the hybrid polymers based on ${\rm -(SnF_2)}_x{\rm -(CH_2)}_y-$ are promising candidates for high energy-density applications. Our work is supported by the Office of Naval Research through the Multidisciplinary University Research Initiative (MURI). [Preview Abstract] |
|
P1.00184: Capillary Interactions Among Spherical Particles at Cylindrical Air/Liquid Interfaces Paul Kim, Thomas Russell, David Hoagland When particles are absorbed on interfaces between immiscible fluids, spontaneous capillary interaction occurs. Particles migrate to specific locations and orient themselves in the way that decrease the interfacial area. The shape of interfaces plays an important role in the mechanics of adsorbed particles, since the initial interfaces curvature overlaps with the interfaces deformation by particles. Theory suggests that spheres adsorbed on a cylindrical interface exhibit a quadrupolar capillary interaction. The interface is deformed non-symmetrically around spheres to make a constant contact angle, resulting in attractive or repulsive interaction among spheres according to their relative angles. To understand the role of the interface shape on the capillary interactions, experiments were performed to test theoretical arguments. Polystyrene microparticles of different sizes are sprinkled on hemi-cylindrical air/liquid (i.e. ionic liquid or PEG700) interfaces and tracked by optical microscopy. The investigation affords insights into directed assembly of particles at interfaces and point to routes by the lateral assembly of particles can be manipulated and controlled. [Preview Abstract] |
|
P1.00185: Stimuli-Responsive Block Copolymer Nanoporous Template by Magnetic-Field Alignment Youngwoo Choo, Manesh Gopinadhan, Prashant Deshmukh, Pawel Majewski, Olgica Bakajin, Menachem Elimelech, Rajeswari Kasi, Chinedum Osuji Block copolymers have attracted intense interest related to their potential application as stimuli-responsive nanoporous membranes based on the selective removal of one component of the system, and stimuli-responsiveness of the remaining material. There are however several non-trivial challenges associated with realizing vertically aligned nanopores in stimuli-responsive thin films. Here, we present a facile approach to direct the self-assembled morphology of novel poly(lactide) based brush-block copolymers using a magnetic field. The norbornene backbone of the BCP architecture allows cross-linking of the template while the liquid crystalline majority block provides magnetic anisotropy.~\textit{In-situ~}temperature resolved small angle x-ray scattering (SAXS) under a 6 T magnetic field revealed that the system self-assembles into highly ordered hexagonally-packed cylinders of PLA and that this aligned structure is maintained after UV cross-linking of the LC matrix and hydrolytic removal of the PLA material. Furthermore, temperature resolved SAXS showed that the nanopores can be reversibly closed and opened multiple times while retaining their alignment by appropriate heating and cooling in the absence of the field. [Preview Abstract] |
|
P1.00186: Effect of the mechanical deformation on the electrical properties of the polymer/CNT fiber Hyun Woo Cho, Bong June Sung We elucidate the effect of the mechanical deformation on the electrical properties of the polymer/CNT fiber. The conductive polymer fiber has drawn a great attention for its potential application to a stretchable electronics such as wearable devices and artificial muscles, etc. However, the electrical conductivity of the polymer-based stretchable electronics decreases significantly during the deformation, which may limit the applicability of the polymer/CNT fiber for the stretchable electronics. Moreover, its physical origin for the decrease in electrical conductivity has not been explained clearly. In this work, we employ a coarse-grained model for the polymer/CNT fiber, and we calculate the electric conductivity using global tunneling network (GTN) model. We show that the electric conductivity decreases during the elongation of the polymer/CNT fiber. We also find using critical path approximation (CPA) that the structure of the electrical network of the CNTs changes collectively during the elongation of the fiber, which is strongly responsible for the reduction of the electrical conductivity of the polymer/CNT fiber. [Preview Abstract] |
|
P1.00187: Harnessing Active Fins to Segregate Nanoparticles from Binary Mixtures Ya Liu, Olga Kuksenok, Amitabh Bhattacharya, Yongting Ma, Ximin He, Joanna Aizenberg, Anna Balazs One of the challenges in creating high-performance polymeric nanocomposites for optoelectronic applications, such as bilayer solar cells, is establishing effective and facile routes for controlling the properties of interface and segregation of binary particles with hole conductor particles and electron conductor particles. We model nanocomposites that encompass binary particles and binary blends in a microchannel. An array of oscillating microfins is immersed in the fluid and tethered to the floor of the microchannel; the fluid containing mixture of nanoparticles is driven along the channel by an imposed pressure gradient. During the oscillations, the fins with the specific chemical wetting reach the upper fluid when they are upright and are entirely within the lower stream when they are tilted. We introduce specific interaction between the fins and particulates in the solution. Fins can selectively ``catch'' target nanoparticles within the upper fluid stream and then release them into the lower stream. We focus on different modes of fins motion to optimize selective segregation of particles within binary mixture. Our approach provides an effective means of tailoring the properties and ultimate performance of the composites. [Preview Abstract] |
|
P1.00188: Temperature Dependence of Polymer Diffusion in Silica/PS Nanocomposites Wei-Shao Tung, Nigel Clarke, Russell Composto Composto, Karen Winey Temperature dependence of homo-polymer diffusion can be explained by WLF equation. Here, we explore whether the WLF equation applies to polymer diffusion in nanocomposites. Previously, we found the diffusion coefficient shows a monotonic decrease while we increase the loading of silica nanoparticles, and it is interesting for us to investigate the mechanism of the decrease. By looking at the temperature dependence of polymer diffusion, we are able to get more information if this decrease is caused by the entropic barrier or enthalpy interaction between polymer chain and fillers. Our composites are made of phenyl-capped silica nanoparticles and polystyrene through rapid evaporation method. By using forward recoil elastic scattering (FRES), we are able to probe the depth profile of tracer polymer (dPS) and obtain the diffusion coefficients by fitting the profile with Fick's second law. [Preview Abstract] |
|
P1.00189: Nanoparticle Brush Architecture Controls Polymer Diffusion in Nanocomposites Jihoon Choi, Michael J.A. Hore, Nigel Clarke, Karen I. Winey, Russell J. Composto We show that polymer diffusion in polymer nanocomposites (PNCs) is controlled by the architecture of polymer brushes grafted to silica nanoparticles (NPs). The tracer diffusion of deuterated polystyrene (dPS, M$_{n}=$23 - 532 kg/mol) is measured in a polystyrene (M$_{n}=$160 kg/mol) nanocomposite containing soft NPs with low (0.154 nm$^{-2})$ and high (0.383 nm$^{-2})$ grafting density. At high grafting density, diffusing chains having radius of gyration, R$_{g}$, are excluded from the polymer brush leading to greater confinement. However, at lower grafting density, these chains penetrate the brush and diffusion is similar to the hard NP case, compared at the same silica loading. The effective interparticle spacing (ID$_{eff})$ is determined by modeling polymer penetration into the brush using self-consistent field theory. When plotted against a confinement parameter (ID$_{eff}$/2R$_{g})$, reduced diffusion coefficients (D/D$_{0})$ fall on a master curve independent of brush architecture. These findings show that brush architecture provides a new route towards controlling polymer dynamics and viscoelasticity of PNCs. [Preview Abstract] |
|
P1.00190: Effects of O2 plasma treatment of PDMS on the deposition of electrospun PVA nanofibers Natsumi Kobayashi, Norihisa Miki, Koichi Hishida, Atsushi Hotta A new polymeric nanofiber-alignment technique with the selective deposition of the nanofibers using oxygen (O2) plasma treatment on a base material for the electrospinning was introduced. Generally, without any pretreatments, electrospun fibers are deposited randomly on the collector. In this work, we focused on the O2 plasma treatment of the surface of the base material to modify the surface morphology and to add polar groups to the surface. O2 plasma-treated and untreated surface of poly (dimethylsiloxane) (PDMS) was prepared by masking a part of PDMS film by another PDMS film. The polyvinyl alcohol (PVA) fibers were then deposited onto the PDMS film. The surface structure of the PDMS film with PVA nanofibers was analyzed by scanning electron microscopy, water contact angle measurements, and X-ray photon spectroscopy. Only a few PVA nanofibers were deposited randomly on the untreated area of the PDMS film, while a number of PVA nanofibers were selectively deposited onto the O2 plasma-treated area. Intriguingly, PVA nanofibers were neatly aligned along the border of the untreated and the treated areas. The contact angle of the plasma-treated surface of PDMS decreased from 105 to 22 degree and the atomic ratio of O/Si was 1.7 times higher than that of the untreated PDMS. [Preview Abstract] |
|
P1.00191: Inelastic behavior in polycarbonate blends Suresh Ahuja Polycarbonate offers a challenging opportunity because of its industrial importance from carbon nano-tubes, ceramics and to Electrophotography. Anti-plasticization shows anomalous inelastic behavior in brittle ductile transition and in stress strain, stress strain rate response. Poly (methylmethacrylate), polystyrene, and polycarbonate are strongly rate dependent, Nano-indentation is a way of determining surface deformation and effect of strain and strain rate behavior of complex surfaces. Hardness and modulus depend on the indentation depth or load, exhibiting the well-known Indentation Size Effect (ISE). A decrease in the hardness with increasing indentation depth or load has been observed in numerous micro or nano-indentation tests on various materials such as metals, diamond-like carbon, polymers, ceramics, etc. which may be called the normal ISE. The inverse ISE has also been reported, in which the hardness increases with increasing indentation depth or load. There are unique properties such as indentation affects resulting in strain softening and strain hardening. There is differentiation in structure with the depth exhibited in variation of Tg. Hertzian and non-linear deformation models including usage of Finite Element Method offer opportunity in analyzing nano-indentation. Presence of diamine in polycarbonate results in making the surface and bulk brittle and acts as an anti-plasticizer by increasing its modulus, yield stress and reducing strain to break. Data on modulus and hardness of polycarbonate and blends of diamine as function of depth (strain) and strain rate are presented and compared to inelastic models. [Preview Abstract] |
|
P1.00192: AFM force measurement on nano scale Polystyrene Zahra Fakhraai, Tianyi Liu, Guoyu Yang Large surface/volume ratio can significantly change the mechanical properties of polymer film with nanometer thickness. Intuitively, the average response contains a larger component of the liquid like layer on the surface compared with the bulk, which should lead to reduced elastic constant. But the ultra small length scale makes it challenging to directly measure the viscoelastic response of nanostructured polymers. When the film thickness is decreased, some measurement supports that the elastic moduli of amorphous polymer films also decreases , while others show the rubbery modulus stiffens. Though the indentation on millimeter and micrometer scale has become common, not much research has investigated the yield stress and strain on nano scale indentation, which contains much larger percentage and effect from the free surface layer. In this study, we use regular AFM tip to indent onto the surface of polystyrene nanodroplets, under various loading speeds to study relaxation times and mechanical response in these systems. [Preview Abstract] |
|
P1.00193: The Structural Change of Buckling Depending on the Directional Mechanical Heterogeneity of Top Thin Films Dokyeong Kwon, Hyo Seon Suh, Kookheon Char Buckling of thin films on elastomeric substrates such as polydimethylsiloxane (PDMS) is the well-known phenomenon in buckling instability originating from the moduli mismatch between a substrate and a thin film placed at the top. Recently, many studies on the microstructure created by the buckling have been reported but most of the work has introduced only mechanically homogeneous top thin films, which has constant Young's modulus throughout whole film. Here, we present the buckling of mechanically heterogeneous thin films on top of PDMS substrates. Mechanically heterogeneous top films were prepared by either polystyrene (PS) films with topographic patterns or oriented block copolymer (BCP) thin films. Buckling instability was induced by applying a mechanical stress to the top film-PDMS bilayer. Resulting buckled structure made hierarchical structure along with smaller-scale structures, which is topographic PS structure or oriented BCP structure. Due to the directional mechanical heterogeneity of top films, resulting buckled structures showed structural change depending on the alignment of top films with respect to the buckled direction of a substrate. This work could give insights on new patterning technique, utilizing both nano-sized patterns and micro-sized patterns at the same time. [Preview Abstract] |
|
P1.00194: Shape Memory Behaviors of Micro- and Nano-Particles Lewis Cox, Jason Killgore, Zhengwei Li, Zheng Zhang, Donna Hurley, JianLiang Xiao, Yifu Ding The behaviors of shape memory polymers to be programmed into temporary shapes and recovery their original geometries have been well investigated at the macro-scale. Here, we examine the shape memory behaviors of micro- and nano-particles. The programming of these particles with nanoimprint lithography and the recovery of these particles were systematically investigated. Particularly, the overall shape memory effect is influenced by the substrate-particle adhesion, mechanical constraints of the metal capping layer, particle sizes, and programing conditions. By controlling these factors, particles (including Janus particles) with systematically varying morphology and properties can be achieved. [Preview Abstract] |
|
P1.00195: Halogenated contorted hexabenzocoronenes as electron acceptors in organic solar cells Anna Hiszpanski, Leo Shaw, Matthew Bruzek, Jonathan Saathoff, Laura Kraya, Fransizka Leuttich, Michael Brady, Michael Chabinyc, Antoine Kahn, Paulette Clancy, John Anthony, Yueh-Lin Loo Substituting hydrogens with fluorines and chlorines lowers the lowest unoccupied molecular orbital (LUMO) of organic semiconductors, thereby facilitating electron injection into and electron transport in these materials. We synthesized contorted hexabenzocoronene (HBC) derivatives with increasing fluorine- and chlorine-substitution. While the LUMO of fluorinated HBCs decreases by 60 meV/F, the LUMO of chlorinated HBCs decreases by 70 meV/Cl, resulting in slightly narrower bandgaps in the chlorinated compounds. Interestingly, only the chlorinated HBCs are electrically active. Bulk-heterojunction solar cells with poly(3-hexyl thiophene) as the polymer donor have yielded efficiencies as high as 1.1{\%}. We believe the drastic difference in electrical activity between the two nominally similar families of HBCs to stem from differences in their solid-state packing. This observation underscores the importance of structure-property relationships in guiding electron acceptor design. [Preview Abstract] |
|
P1.00196: Nanostructure Formation of Regioregular Poly(3-octylthiophene) in Thin Films: Effects of Solvents, Concentration and Temperature Ruttayapon Potai, Rakchart Traiphol, Dvora Perahia The orientation and packing of conjugated polymer chains in thin film crucially affects charge transport. This study focuses on the morphology of self-assembled regioregular poly(3-octylthiophene) (rr-P3OT) in thin film. Previous studies showed that this polymer cast from different solvents forms rod-like aggregates. Here we present the morphology of films cast from a mixed solvent, toluene and hexane where the ratio of the solvent tunes the morphology and absorption of the aggregates. Addition of hexane, a good solvent for octyl side chain and a poor solvent for the thiophene unit, selective interaction change the conformation of the rr-P3OT chain. Absorption measurements across 200-800 nm, exhibits distinct red-shifted peaks, with respect those in toluene. With increasing hexane concentration, the aggregates in solution transform from non-emissive to emissive. We attribute this behavior to the disruption of segmental stacking. AFM measurement of the film shows the formation nano aggregates that change in size and shape with concentration of hexane. Thermal annealing of the films leads to morphological changes as well. Further structural analysis using neutron and X-ray techniques is currently on the way. [Preview Abstract] |
|
P1.00197: Resonant Infrared Matrix-Assisted Pulsed Laser Evaporation (RIR-MAPLE): An Enabling Technology for Polymeric Thin Films Adrienne Stiff-Roberts Resonant infrared matrix-assisted pulsed laser evaporation (RIR-MAPLE) is a promising thin film deposition technology for polymeric materials for two primary reasons: i) the ability to control and tune many aspects of nanoscale morphology, and ii) the ability to deposit multi-layered films, regardless of the constituent material solubilities. RIR-MAPLE is most successful when the incident laser wavelength is tuned to an absorption peak in the host matrix that is absent from the guest material. Therefore, a novel approach using target emulsions of a desired guest material and corresponding solvent with water has been developed that is compatible with a table-top Er:YAG laser. The fixed emission wavelength of the laser at 2.9 um is resonant with hydroxyl (O-H) bonds. This emulsion approach enables high-quality, thin-film deposition with minimal photochemical and structural degradation. The emulsion RIR-MAPLE technique has been used for the thin film deposition of a variety of conjugated polymer, small molecule, nanoparticle, and blended/bulk heterojunction material systems. Of particular interest is the application of these polymeric thin films to photonics and optoelectronics. Examples of RIR-MAPLE-deposited films to be presented include blended polymer films for optical coatings, hybrid nanocomposite films for solar cells, and light-activated biocidal films for antimicrobial applications. [Preview Abstract] |
|
P1.00198: Temperature and intensity dependent quenching of light emission in Alq$_{3}$ films Niranjala Wickremasinghe, Ahamed M. Ajward, Xiaosheng Wang, Hans Peter Wagner We investigate the light emission in aluminum quinoline (Alq$_{3})$ films as a function of cw laser excitation intensity at temperatures ranging from 15 to 300 K by measuring the spectrally-integrated (SI) photoluminescence (PL) with a photodiode. In addition we study the time-resolved (TR) PL as a function of 100 fs pulse fluence. The Alq$_{3}$ films were grown on a Si substrate using organic molecular beam deposition. In SI PL measurements at low temperatures (15 K) we observe PL quenching which is rising with increasing excitation intensities and saturating at highest intensities. The PL quenching is attributed to exciton-exciton annihilation of trapped excitons at nanocrystal grain boundaries. The saturation is explained by the limited density of available traps. This observation is in agreement with TR PL traces which show an increasingly non-exponential decay with rising pulse fluence and saturation at high excitation. With increasing temperature (30 to 170 K) the annihilation process is reduced due to thermally activated occupation of non-quenchable exciton states. Above 190 K the PL efficiency decreases because of thermally activated de-trapping of excitons and subsequent migration to non-radiative centers. Our experimental results are supported by a coupled rate equation model. [Preview Abstract] |
|
P1.00199: High Resolution Nanoimprint of Organic Photovoltaics with Bulk Metallic Glass Molds Manesh Gopinadhan, Jonathan Singer, Zhen Shao, Su Huang, Jan Schroers, Chinedum Osuji Bulk heterojunction (BHJ) architectures are highly desirable for photovoltaic applications, with ideal ordered BHJ sample geometries consisting of domain sizes for the donor and acceptor commensurate to the exciton diffusion length (about 20 nm) and thicknesses on the order of hundreds of nm. Many demonstrations of OBHJ rely on expensive top down approaches for generation of the nanostructure. Bulk metallic glasses (BMGs) enable affordable replication of expensive hard masks at feature sizes and aspect ratios unachievable by other template materials and can be reused multiple times to achieve sub-100 nm imprint. We demonstrate the optimization and application of amorphous aluminum oxide-templated BMG nanoimprint to enable two sorts of photovoltaic geometry: (1) a nanostructured BMG electrode imprinted directly into phase separated, nanoconfined BHJ of poly(3 hexylthiophene-2,5-diyl) (P3HT) and Phenyl-C61-butyric acid methyl ester (PCBM) and (2) an ordered BHJ of P3HT and PCBM with conventional electrode performed by imprint into P3HT, removal of the template, and subsequent orthogonal cospinning of PCBM into the template. We demonstrate repeated generation of sub-100 nm feature size patterns with aspect ratios exceeding 3. [Preview Abstract] |
|
P1.00200: Binding of solvated peptide (EPLQLKM) with a graphene sheet: all-atom-to-all residue hierarchical approach Aerial Camden, Zhifeng Kuang, Rajiv Berry, Rajesh Naik, Barry Farmer, Nadia Dragneva, Wely Floriano, Oleg Rubel, Ras Pandey Binding of peptide EPLQLKM with a graphene sheet is studied by a coarse-grained computer simulation involving all-atom-to-all-residue interactions between amino acids and substrate. Estimates of the binding energy of amino acids with the graphene sheet in presence of aqueous solvent with three independent all-atom MD simulations are used as input to residue-substrate interaction in an all-residue coarse-grained representation of peptides. Large-scale Monte Carlo simulations are performed to examine the binding of peptides with the input of three simulation residue-substrate interactions as a function of temperature. Despite a considerable difference in quantitative estimates of the binding energy of amino acids with three all-atom simulations, the results of peptide binding, i.e., relative strength of binding (including anchoring residues) response to temperature remains the same. Differences and similarities in binding as a result of three simulated-interactions will be discussed in detail. [Preview Abstract] |
|
P1.00201: Bio-inspired Self-healing Composite Hydrogel with Iron Oxide Nanoparticle as Coordination Crosslinker Qiaochu Li, Devin G. Barret, Phillip B. Messersmith, Niels Holten-Andersen Polymer-nanoparticle (NP) composites have attracted renewed attention due to enhanced mechanical strength combined with various functionalities, but controlling the interfacial chemistry between NPs and polymer matrix, which is crucial for the composite's mechanical behavior, remains a major challenge. Inspired by the adhesion chemistry of mussel fibers, we investigated a novel approach to incorporate Fe$_{\mathrm{3}}$O$_{\mathrm{4}}$ NPs into hydrogel matrix. A polyethylene glycol polymer is designed with both ends conjugated by catechol groups, which have strong coordination affinity to Fe. The polymer network is crosslinked via coordination bonding at the surface of Fe$_{\mathrm{3}}$O$_{\mathrm{4}}$ NPs, yielding a stiff nanocomposite hydrogel. Due to the reversible nature of coordination bonding, the hydrogel presents self-healing behavior. Oscillatory rheology allows comparative kinetic studies of self-healing driven by catechol bonding at Fe$_{\mathrm{3}}$O$_{\mathrm{4\thinspace }}$NP interfaces and by catechol-Fe$^{\mathrm{3+}}$ coordination complexes. Furthermore, the superparamagnetic property of Fe$_{\mathrm{3}}$O$_{\mathrm{4}}$ NP is preserved after gelation, allowing for response to external stimuli. This gelation motif can serve as a versatile platform for tuning functional and mechanical properties for future polymer nanocomposite materials. [Preview Abstract] |
|
P1.00202: Study of crystalline morphologies of polymer films deposited via matrix assisted pulsed laser evaporation Hyuncheol Jeong, Craig Arnold, Rodney Priestley Due to the long-chain nature, the crystallization and resulting properties of polymers depend critically on how they are processed. Therefore, studying polymer crystallization under novel kinetic conditions is important from both fundamental and technological viewpoints. We studied the crystalline morphologies of polyethylene (PE) and polyethylene glycol (PEG) formed via Matrix Assisted Pulsed Laser Evaporation, a unique deposition technique for polymeric materials. In MAPLE, the material of interest is kinetically ejected from a frozen dilute solution under high vacuum by an incident pulsed laser beam, and subsequently deposited onto a substrate. Hence, MAPLE provides a means to deposit polymers, with tunable growth rates ranging from $\sim$ 0.01- 10 nm/s onto various substrates held at a preset temperature, without any significant chemical decomposition or molecular weight reduction. We successfully deposited semi-crystalline PE and PEG bulk (\textgreater 1 $\mu $m) and ultrathin (\textless 100 nm) films as well as isolated nano-droplets by taking advantage of the tunable growth rate/deposition time, and subsequently explored the film morphologies formed at various substrate temperatures and growth rates. Morphological features of the MAPLE-deposited polymers, including crystallinity and crystalline plane orientation, were compared to the case of spin-cast and drop-cast films. [Preview Abstract] |
|
P1.00203: Flow Induced Crystallization in Polyolefins Kalman Migler The presence of flow is known to enhance the nucleation rate in semi-crystalline polyolefins by as much as five orders of magnitude, but the underlying molecular mechanism is still under debate. Here we describe experiments that combine birefringent microscopy, light scattering and vibrational spectroscopy to quantity to flow induced crystallization process. We map out the kinetics pathways and flow regimes in commercial grade polyolefins. Results from this program will be important in understanding how to control crystallization in industrial relevant manufacturing operations. [Preview Abstract] |
|
P1.00204: Correlation between state of water and reinforcement mechanism in Poly(lactic acid) Omkar Vyavahare, David Ng, Henry Dunn, Shaw Ling Hsu In this study, interaction between water and Poly(lactic acid)(PLA) and its effect on mechanical properties have been elucidated. Although water absorption in PLA is low (less than 1{\%} by weight or one water molecule per $\sim$ 30 PLA monomeric units) changes in the mechanical properties were observed depending on the sample morphology. It has been established that amorphous PLA can undergo significant structural transformations when exposed to water during physical aging and crystallization. In addition, melt quenched amorphous PLA showed increase in the elastic modulus by 10{\%} upon hydration. The phenomenon was attributed to the presence of bound water having specific interaction with PLA and acting as ``crosslinks'' between the chains. The hypothesis was supported by spectroscopic evidence showing different states of water in PLA based on degree of crystallinity. OH stretching and bending bands of water absorbed in the amorphous PLA are characteristic of bound water. In contrast, for semicrystalline PLA with 50{\%} degree of crystallinity, hydration led to decreased modulus and absorbed water exhibited bulk water like features. In this case, water would have access to amorphous region but the perturbing effects are limited by the constraints introduced by the crystalline domains. [Preview Abstract] |
|
P1.00205: Simultaneous determination of the interaction parameter and topological features of polymers in dilute solutions Durgesh Rai, Gregory Beaucage, Ramanth Ramachandran, Kedar Ratkanthwar, Nikos Hadjichristidis, Hong Kunlun, David Uhrig, Andy Tsou The Random Phase Approximation (RPA) using the Debye polymer chain scattering function has been widely used for analysis of small angle neutron scattering (SANS) data in order to model polymer blends of linear chains in the melt where it is safe to assume a Gaussian conformation. Nevertheless, Gaussian scaling is not applicable when the polymer chains display more complicated topologies or when the chains are in dilute solutions. In order to explicitly determine the nature of chain scaling, related to topology or solvent quality, as well as to quantifying the thermodynamic interactions, a coupling of the unified scattering function with the RPA equation and Benoit's approach to model inter-arm and intra-arm interactions is proposed to analytically quantify thermodynamic effects along with topological variations using the proposed scaling model. Detailed topological quantification of star polymers systems have been able to describe both, good and theta solvent conditions along with effects of functionalities, as well as resolve deviations in chain conformations due to steric interactions between star arms. [Preview Abstract] |
|
P1.00206: Soft Semicrystalline Thermoplastic Elastomers by Arrested Crystallization Adam Burns, Richard Register Thermoplastic elastomers (TPEs) marry the solid-state behavior of vulcanized rubbers with the melt processability of thermoplastics. Archetypal soft TPEs consist of triblock copolymers comprising a rubbery mid-block flanked by two identical glassy end-blocks. Incorporating crystalline blocks into TPEs can confer solvent resistance as well as reduce the processing costs by giving access to single-phase melts. However, simply substituting crystalline for glassy end-blocks dramatically degrades the solid-state mechanical properties, particularly at large strains. We seek to integrate the benefits of crystallinity into TPEs, while maintaining the desired mechanical properties, using the block architecture: crystalline-glassy-rubbery-glassy-crystalline. Methods have been developed to synthesize highly symmetric, narrow-distribution block copolymers with this architecture using anionic polymerization of butadiene, styrene, and isoprene followed by hydrogenation. Judicious choices of block molecular weights indeed yield homogeneous melts above the melting point of the crystalline component. Upon cooling, crystallization---rather than interblock repulsion---establishes the solid-state microstructure which physically crosslinks the rubbery mid-block, ultimately conferring elasticity. Subsequent vitrification of the adjacent glassy blocks arrests the growth of the crystallites, and protects them from yielding under applied load. As a result, our materials show low initial moduli, strain hardening, and high extensibility, typical of commercial TPEs. [Preview Abstract] |
|
P1.00207: Elastomeric Properties of Poly(glycerol sebacate) (PGS) Based Nanoparticle Composites Hyun-Joong Chung, Xinda Li, Albert T.-L. Hong Owing to the unique combination of biocompatible, biodegradable, and elastomeric properties, poly(glycerol sebacate) and their derivatives are an emerging class of biomaterials for soft tissue replacement, drug delivery, tissue adhesive, and hard tissue regeneration. The mechanical properties of the polyester have been tailored to match a wide range of target organs, ranging from cardiac muscle to bones, by manipulating the process parameters to modulate cross-linking density. In the present study, we applied nanoparticles and cross-linking agents to further optimize their elastomeric properties. Especially, the study aims to enhance the practically important, but less studied, property of tear resistance. Microscopic origin of the property enhancement is discussed. [Preview Abstract] |
|
P1.00208: Structure and mechanical properties of dried syndiotactic polypropylene gels formed at different cooling temperatures Sawako Mizuno, Atsushi Hotta The structures of dried syndiotactic polypropylene (sPP) gels were analyzed and the mechanical properties of the dried sPP gels were investigated. Our group has previously reported that sPP/decahydronaphthalene (decalin) gels possessed different crystalline structures depending on different cooling temperatures (using liquid nitrogen or at room temperature). In this study, dried sPP gels were made and the solvent was completely removed from the gels by vacuum drying. The microstructures of the resultant sPP/decalin gels were analyzed by optical microscopy, differential scanning calorimetry (DSC), and small angle X-ray scattering (SAXS) measurements. Tensile testing with elastic recovery testing was carried out to measure the mechanical properties of the dried sPP/decalin gels. It was found that the dried quenched-gels presented higher elastic recovery and higher strain at break than the dried gels cooled at room temperature, although the crystallinities of both gels measured by DSC were almost the same. It was considered from the experimental results that homogeneous and dense crystalline-network structures existed in the dried quenched-sPP/decalin gels. [Preview Abstract] |
|
P1.00209: Comparison of Polyurethanes with Polyhydroxyurethanes: Effect of the Hydroxyl Group on Structure-Property Relationships Emily K. Leitsch, Vince M. Lombardo, Karl A. Scheidt, John M. Torkelson Polyurethanes (PUs) are commonly synthesized by rapid step-growth polymerization through the reaction of a multifunctional alcohol with a polyisocyanate. PUs can be prepared at ambient conditions utilizing a variety of starting material molecular weights and backbones, resulting in highly tunable thermal and physical properties. The urethane linkages as well as the nanophase separated morphology attainable in PU materials lead to desirable properties including elastomeric character and adhesion. The isocyanate-based monomers used in the synthesis of traditional PUs have come under increasing regulatory pressure and thus inspired the investigation of alternative routes for the formation of PU materials. We examine an alternative route to synthesize PU-- the reaction of five-membered cyclic carbonate with amines. This reaction results in the formation of a urethane linkage with an adjacent alcohol group. The effects of this hydroxyl group on the thermal and mechanical properties of the resulting polymer are investigated and compared with an analogous traditional PU system. [Preview Abstract] |
|
P1.00210: Soft solvent-free elastomers and elastomer composites William Daniel, Yang Zhou, Sam Kirby, Sergei Sheiko There are numerous filler based methods for altering the mechanical properties of elastomers. Hard particles and fibers enhance stiffness, strength and toughness while solvents and gas inclusions greatly reduce elastic moduli. Here we will discuss temperature responsive microsphere elastomer composites capable of reversible changing between a reinforced hard microsphere composites and soft syntactic foam. [Preview Abstract] |
|
P1.00211: ABSTRACT WITHDRAWN |
|
P1.00212: Ionic Effect on the Conformations of Weak Polyelectrolyte Brushes: from Monovalent, Multivalent to Macro ions Chen Qu, Zhongli Zheng, Y. Elaine Zhu The conformation structure and resulting interfacial properties of surface tethered weak polyelectrolyte brushes exhibit strong dependence on solution conditions, such as pH and ionic strength, due to the tunable ionization along the polymer backbone. In this work, we investigate the influence of counterions on wetting and swelling characteristics of positively charged poly(2-vinyl pyridine) (P2VP) brushes grafted to a solid surface. The critical transition pH, at which stretched P2VP brush chain collapse with a resulting increase in P2VP surface hydrophobicity, is determined in aqueous solutions by AFM, QCM, and contact angle goniometer. The critical transition pH is observed to shift to higher pH values by adding monovalent counterions of increased concentration. In contrast, an opposite trend toward lower pH range is observed by adding divalent counterions; similar behavior is also observed with added nanocluster macroions. However, it appears that reentrant counterion condensation is only observed with P2VP brushes added with divalent ions, but not with monovalent ions or macroions. Distinct scaling behaviors of P2VP brush thickness with three different types of counterions are also obtained. [Preview Abstract] |
|
P1.00213: Simulation of complexes between linear polyelectrolyte and charged dendrimer Gunja Pandav, Venkat Ganesan Complexes formed by electrostatic interactions between dendrimer having cationic terminal groups and anionic linear polyelectrolyte are studied using hybrid Monte Carlo simulations. The excluded volume interactions are modeled using a self-consistent field and the electrostatic interactions are computed by solving Poisson equation. Such framework facilitates simulating large scale three-dimensional systems. We primarily focus on the effect of dendrimer generation number, stiffness of polyelectrolyte chain and systematically study its effect on change in shape and size of complexes. Our results suggest that the dendrimer structure and charge distribution has a significant impact on the complex formation. [Preview Abstract] |
|
P1.00214: Electrostatic Persistence Length in Polymeric and Biological Systems Jan-Michael Carrillo, Andrey Dobrynin, Zhen Cao Electrostatic interactions play an important role in controlling properties of polyelectrolytes and biological objects, such as DNA and filamentous viruses. The change in the ionic environment in such systems can influence their conformational properties. Using molecular dynamics simulations and theoretical calculations we have developed a model of swelling of semiflexible polyelectrolytes in salt solutions. Our approach is based on separation of length scales which allowed us to split a chain's electrostatic energy into two parts that describe local and remote along the polymer backbone interactions. The local part takes into account interactions between charged monomers that are separated by distances shorter than the persistence length. These electrostatic interactions renormalize chain persistence length. The second part includes electrostatic interactions between remote charged pairs located at distances larger than the persistence length. These interactions are responsible for chain swelling. In the framework of this approach we calculated effective chain persistence length and chain size as a function of the Debye screening length, chain degree of ionization, bare persistence length and chain degree of polymerization. Our crossover expression for the effective chain's persistence length is in a good quantitative agreement with the experimental data on DNA. We have been able to fit experimental data sets by using two adjustable parameters: DNA ionization degree ($\alpha =0.15\pm 0.17)$ and a bare persistence length ($l_{p} =40\pm 44nm)$. [Preview Abstract] |
|
P1.00215: Effect of Electric Field Alignment on Morphology and Ionic Conductivity of Polymerized Ionic Liquid Block Copolymers Sharon Sharick, Jacob Nykaza, Yossef A. Elabd, Karen I. Winey Polymerized ionic liquid (PIL) block copolymers are appealing for numerous electrochemical applications, including solid polymer electrolyte membranes for batteries and anion exchange membranes for fuel cells. The extent to which the reduced segmental motion caused by the non-conducting polymer segments and grain boundaries between block copolymer microdomains are detrimental to ionic conductivity is unknown. Increased long-range morphological order and connectivity of PIL microdomains are key to understanding the ion transport mechanism and may improve the ionic conductivity of PIL block copolymers. The effect of electric field on the morphology and ionic conductivity of poly(styrene-$b$-1-[2-(methacryloyloxy)ethyl]-3-butylimidazolium-bis(trifluoromethanesulfonyl)imide)) (PS-$b$-PMEBIm-TFSI) will be discussed as a function of microdomain orientation. Electric field is used to increase the perpendicular orientation of ion-conducting pathways with respect to the electrodes. The morphology and ionic conductivity were characterized by small-angle X-ray scattering and electrochemical impedance spectroscopy, respectively. The ionic conductivity of unoriented and oriented block copolymers will be compared to the PIL homopolymer, PMEBIm-TFSI, using the Sax and Ottino model. [Preview Abstract] |
|
P1.00216: Local Structure and Ion Transport in Glassy Poly(ethylene oxide styrene) Copolymers Han-Chang Yang, Jimmy Mays, Alexei P. Sokolov, Karen I. Winey Polymer electrolytes have attracted attention for a wide variety of applications in energy production such as lithium-ion batteries and fuel cells. The concept of free volume provides important information about ion mobility and chain dynamics in the polymer matrix. Researchers have recently demonstrated that ion transport in glassy polymer can be improved by designing a system with high free volume. We have studied the effect of temperature and humidity on the intermolecular correlations of poly(ethylene oxide styrene-\textit{block}-styrene) (PEOSt-$b$-St) block copolymer and poly(ethylene oxide styrene) (PEOSt) homopolymer using \textit{in situ} multi-angle x-ray scattering across a wide range of scattering angles ($q =$ 0.007-1.5 {\AA}$^{-1})$. An increase in backbone-to-backbone distance is observed, indicating an increase in free volume between different polymer main chains. Structural characterization of the polymer segments will be discussed together with conductivity and dielectric results to better understand the ion transport mechanism in the local environment of the polymer system. [Preview Abstract] |
|
P1.00217: Study of Low Molecular Weight Impurities in Pluronic Triblock Copolymers using MALDI, Interaction Chromatography, and NMR Z. Helming, D. Zagorevski, C.Y. Ryu Poly(ethylene oxide)-poly(propylene oxide)-poly(ethylene oxide) triblock copolymers are a group of commercial macromolecular amphiphilic surfactants that have been widely studied for their applications in polymer-based nanotechnology and drug-delivery. It has been well-established that the synthesis of commercial Pluronic triblocks results in low molecular weight ``impurities,'' which are generally disregarded in the applications and study of these polymers. These species have been shown to have significant effects on the rheological properties of the material, as well as altering the supramolecular ``micellar'' structures for which the polymers are most often used. We have isolated the impurities from the bulk Pluronic triblock using Interaction Chromatography (IC) techniques, and subjected them to analysis by H$_{1}$ NMR and MALDI (Matrix-Assisted Laser Desorption Ionization) Mass Spectrometry to identify relative block composition and molecular weight information. We report significant evidence of at least two polymeric components: a low-molecular-weight homopolymer of poly(ethylene oxide) and a ``blocky'' copolymer of both poly(ethylene oxide) and poly(propylene oxide). This has significant implications, not only for the applied usage of Pluronic triblock copolymers, but for the general scientific acceptance of the impurities and their effects on Pluronic micelle and hydrogel formation. [Preview Abstract] |
|
P1.00218: Anomalous Micellization of Pluronic Block Copolymers Amanda Leonardi, Chang Y. Ryu Poly(ethylene oxide) -- poly(propylene oxide) -- poly(ethylene oxide) (PEO-PPO-PEO) block copolymers, commercially known as Pluronics, are a unique family of amphiphilic triblock polymers, which self-assemble into micelles in aqueous solution. These copolymers have shown promise in therapeutic, biomedical, cosmetic, and nanotech applications. As-received samples of Pluronics contain low molecular weight impurities (introduced during the manufacturing and processing), that are ignored in most applications. It has been observed, however, that in semi-dilute aqueous solutions, at concentrations above 1 wt{\%}, the temperature dependent micellization behavior of the Pluronics is altered. Anomalous behavior includes a shift of the critical micellization temperature and formation of large aggregates at intermediate temperatures before stable sized micelles form. We attribute this behavior to the low molecular weight impurities that are inherent to the Pluronics which interfere with the micellization process. Through the use of Dynamic Light Scattering and HPLC, we compared the anomalous behavior of different Pluronics of different impurity levels to their purified counterparts. [Preview Abstract] |
|
P1.00219: Blends involving random copolymers designed for fast crystallization Onyenkachi Wamuo, Ying Wu, Shaw Hsu, Charles (Chuck) Paul, Andrea Eodice Blends involving random copolymers are needed for their specific surface characteristics and ideal mechanical properties. The inherent configurational defects present inhibit crystallization, a highly desired characteristic. In this study, the crystallization of propylene-ethylene copolymer possessing a bimodal distribution of crystallizable chain segments is compared to the kinetics of a blend of an oligomeric statistical random propylene-ethylene copolymer and an oligomeric model polypropylene. It was shown that specifically designed chain configuration can enhance crystallization kinetics. Evaluation of the crystallization kinetics was investigated using both isothermally and non-isothermally by DSC. The stability of crystalline features formed for different samples have been characterized by using high temperature spectroscopic methods. Regularity bands at 940, 998, 1100 and 1220 cm-1 were used to assess structural stability. As expected, the bimodal copolymer system having a higher percentage of longer crystallizable segments in its distribution crystallizes faster. The exceptional properties achieved are attributed to the fact that the longer sequences crystallize first forming a networked structure upon which subsequent crystallization of shorter sequences can occur. [Preview Abstract] |
|
P1.00220: Molecular Complexation and Phase Diagrams of Urea/PEG Mixtures Guoepeng Fu, Thein Kyu Polyethylene glycol (PEG) and urea complexation has been known to form a stable crystal due to molecular complexation. The effect of molecular weight of PEG on the phase diagrams of its blends with urea has been explored. In the case of high molecular weight PEG8k/urea, the observed phase diagram is azeotrope, accompanied by eutectoid reactions in the submerged phases such as induced stable ``alpha'' phase crystals and metastable ``beta'' phase crystals. The metastable crystal can transform to stable crystal under a certain thermal annealing condition. However, the phase diagram of PEG1k/urea is of coexistence loop, whereas PEG400/urea exhibits eutectic character. Subsequently, the change of azeotrope to eutectic behavior with PEG molecular weight is analyzed in the context of the combined Flory-Huggins theory of liquid-liquid demixing and phase field theory of crystal solidification. Of particular interest is that only a very small urea amount (2 wt\%) is needed to form a stable inclusion crystal via complexation with PEG. Potential application in lithium battery is discussed based on AC impedance spectroscopy and cyclic voltammetry. [Preview Abstract] |
|
P1.00221: Band Bending in Polymer Blends of PVDF-TrFE/P3HT by Poling the Ferroelectric Component Freddy Wong, Godohaldo Perez, Manuel Bonilla, Daniel Colon, Juan Colon, Ihor Ketsman, Alexi Gruverman, Peter Dowben, Luis Rosa, P. Sharma Ferroelectric polarization is an attractive physical property for non-volatile switching, because it can be used as two binary levels for digital electronics. Here we present an integrated electronic solution by blending a semiconducting polymer P3HT and a ferroelectric co-polymer PVDF. The combination of the two polymers resulted in a ferroelectric film that by poling the ferroelectric part electronic band bending is observe on the electronic structure of the semiconducting P3HT. [Preview Abstract] |
|
P1.00222: Structure of PS/PMMA Blends with Interfacially Active Janus Particles Derived from ABC Triblock Copolymers Kyle Bryson, Tina L\"obling, Axel M\"uller, Ryan Hayward, Thomas Russell Kinetic trapping of bicontinuous polymer morphologies on submicron length scales through the interfacial adsorption of nanoparticles is of interest due to the unique combination of the properties of each component provided by such structures, and their potential for use as membranes and composite materials. However, this strategy is challenging to realize in polymeric systems, due to the difficulties in preparing particles that are neutrally wetted by the two polymer phases. Janus particles afford a route to circumvent the necessity of neutral wettability. Both theory and experiment have shown enhanced interfacial adsorption energies for Janus particles, as well as greater flexibility in controlling particle orientation at the interface, in comparison to homogeneous particles. Janus particles with polystyrene and poly(methyl methacrylate) (PS/PMMA) hemispheres and a crosslinked polybutadiene core were prepared from triblock copolymers. Using blends of PS and PMMA homopolymers and the Janus particles, we examined structures produced by phase separation during solvent casting and thermodynamic demixing transitions via TEM and small-angle light scattering. The results elucidate the role of particle wettability on interfacial behavior and the structure of stabilized emulsions. [Preview Abstract] |
|
P1.00223: Band structure and device fabrication using thin-films of p-benzoquinonemonoimine zwitterion/P3HT blends Gerson Diaz, Freddy Wong, Eduardo Vega, Luis Rosa Most organic materials are insulators or semiconductors and few explicitly exhibit a density of states at the Fermi level. Stable charge neutral organic molecules do not usually behave as metals because the interatomic hybridization causes the conduction and valence bands to be completely unfilled and filled, respectively. The electronic structure of the p-benzoquinonemonoimine zwitterion molecular film has a definite, although small, density of states evident at the Fermi level as well as a nonzero inner potential and thus is very different from a true insulator. Using this newly discover property of the zwitterion we are using blends of Poly(3-hexylthiophene) or P3HT/zwitterion for device fabrication and characterization. P3Ht is a semiconducting polymer with high electron mobility, a promising characteristic in organic semi-conductive devices. Photoelectron emission and inverse photoemission spectroscopy studies of polymer blends of p-benzoquinonemonoimine zwitterion and regio-regular poly(3-hexylthiophene) (P3HT) thin-films provide evidence of changes in the molecular band structure. Electric measurements done with these polymer blends show evidence of higher transport currents in comparison to P3HT polymer thin-films alone. [Preview Abstract] |
|
P1.00224: Effect of particle incorporation on mechanical properties of carbon fiber textile composites Satoshi Kobayashi, Jun Kitagawa In this study, the effect of mechanical properties and fracture behavior due to the inclusion of the fine particles was investigated. The tensile and flexural properties of CFRPs with rubber or Al2O3 particle modified matrix were characterized as a function of particle loading. Tensile strength of particle incorporated CFRP were nearly equal to that of neat matrix CFRP. Flexural strength of CFRP composites were influenced by both matrix modulus and toughness. It is found that higher flexural strength for 5wt.{\%} rubber particle incorporated specimen largely depends on matrix toughness, although lower flexural strength for 10wt.{\%} rubber particle incorporated specimen depends on matrix flexural modulus. In case of Al2O3 particle incorporated specimen, particle content of 10wt.{\%} had a maximum flexural strength. However, further particle addition up to 20wt.{\%}, decreased the flexural strength due to the worse formability. [Preview Abstract] |
|
P1.00225: Measurements of thermal and healing properties of nanoclay modified asphalt binders using molecular dynamics simulations Dustin Baker, Takumi Hawa, Zahid Hossain, Mrinal Saha, Musharraf Zaman A seven component molecular dynamics model has been developed to represent asphalt binder. The model has been developed to include the four major classes of molecules found in asphalt binders. The seven asphalt binder molecules were assembled with the Optimized Potentials for Liquid Simulations force field (OPLS) and the Large-scale atomic/molecular massively parallel simulator (LAMMPS) was used to carry out all simulations. Diffusion and density values were determined to validate individual molecules; all values were within acceptable range. Diffusion values were also determined for each molecule while present in the asphalt binder mixture. Density of the asphalt binder was determined to compare to experimental results. Values appear to follow the same trend as seen in experimental results and were closer to experimental results than other asphalt binder models. A glass transition temperature of 263.59K was determined using the density results at nineteen temperatures and was found to be in an acceptable range. A nano-clay model has also been developed using Clay force field and combined with the asphalt binder model. Also, we have investigated how the nano-clay impacts thermal and healing properties of the binder. [Preview Abstract] |
|
P1.00226: Dispersion and Alignment of CdSe Nanorods in Polymer Nanocomposites Boris Rasin, Amalie Frischknecht, Benjamin Diroll, Lindsay Tsai, Christopher Murray, Russell Composto The thermodynamic factors that affect the dispersion of polymer-brush grafted nanorods (NR) added to homopolymer matrix films have been studied by both experiments and theory. Whereas prior studies have focused on gold nanorods with fixed diameter (12nm-16nm) and varying length (37nm to 98 nm), these studies investigate the smaller diameter (4 nm) CdSe nanorods with length 27 nm to determine if nanorod curvature increases wetting between brush and matrix chains. Here we investigate two chemically similar brush / matrix systems polystyrene (PS)-NR / PS and poly(ethylene oxide) (PEO)-NR/PEO as a function of matrix to brush degree of polymerization, P/N. For the PS-NR / PS system for P/N$=$.5 the nanorods observed in the polymer matrix are primarily either individual nanorods or individual chains of end to end positioned nanorods. For P/N$=$13 aggregates consisting of side to side positioned nanorods and side to side positioned nanorod chains are observed. Individual nanorods and individual nanorod chains are also observed. The transition from wet to dry brush is explored and compared with the gold NR studies as well as density functional theory calculations. The effect of electrical field alignment on nanorod orientation is also presented. [Preview Abstract] |
|
P1.00227: Viscoelastic properties of polycarbonate, poly(methyl methacrylate) and their nanocomposites via nanoindentation experiments Kenneth Noll, Maranda Wong, Erin Evke, Deniz Rende, Rahmi Ozisik Polycarbonate, PC, and poly(methyl methacrylate), PMMA, are economic alternatives to glass mainly die to their mecahnical and optical properties. The uses of PC and PMMA can be expanded if their impact response and scratch resistance are improved. Carbon nanotubes are known to increase the toughness of PMMA and improve its resistance impact forces. In the current study, the viscoelastic properties of PC, PMMA and their nanocomposites were investigated via nanoindentation experiments. Stress relaxation experiments were performed under various loading rates.\textunderscore [Preview Abstract] |
|
P1.00228: Effect of Hydrolysis on Mechanical Behavior of TCP/PLLA Composites Satoshi Kobayashi, Shusaku Yamaji Bioactive ceramics/poly-L-lactic acid (PLLA) composites have been expected as a material for the bone fracture fixations which have more biocompatibility than monolithic PLLA. In this study, hydrolysis behavior of tricalcium phosphate (TCP)/PLLA composites containing three different TCP contents (5, 10, 15 wt{\%}) in simulated body environment were characterized. These specimens were immersed in phosphate buffered solution. Tensile tests were conducted on the specimen after immersion in various strain rates. In order to predict stress-strain behavior after immersion, damage micromechanical analysis proposed by the authors were conducted. In this model, nonlinear behavior in stress strain relationship were simulated considering interfacial debonding between TCP particle and PLLA matrix. For the purpose of deciding the interfacial strength, such as critical energy release rate, curve fitting was conducted on the result of 15wt{\%} composites under three types of strain rates. Theoretical results using the interfacial strength obtained on 5wt{\%} and 10wt{\%} composites were in good agreement with experimental results. This result indicated that interfacial strength was dependent only on strain rate and was independent from TCP fraction. [Preview Abstract] |
|
P1.00229: Influence of Light Absorption on the Chain Conformation of Conjugated Polymers Brian Morgan, Mark Dadmun The interaction of conjugated polymers with light leads to the creation of electron-hole pairs (exciton) through photo-excitation of the electron. As these entities travel along and across polymer chains, their potential effects on chain conformation, and ultimately macroscopic physical properties, is largely unstudied. Recently, Reiter et al. have demonstrated that the absorption of photons by a conjugated polymer reversibly decreases the rate of dewetting in polystyrene/conjugated polymer thin film blends. To investigate the cause of this phenomenon, we have completed small angle neutron scattering experiments to determine the configuration of several semiconducting conjugated polymers in solution in both the presence and absence of light. Substantial differences are observed in the measured structure factor of the chain with illumination. The extent of the differences varies with polymer concentration, solvent choice, and light exposure methodology. Analysis of the neutron scattering curves points towards significant change in conjugated polymer conformation as a result of its absorption of photons. [Preview Abstract] |
|
P1.00230: Degrafting of covalently grafted polyelectrolyte and polybetaine systems from flat surfaces Casey Galvin, Jan Genzer We present a systematic study elucidating the role that grafting density, molecular weight, polymer and initiator chemistry and solution temperature have on the stability of polyelectrolytes and polybetaines grafted covalently to flat substrates. We find that increased stretching of the grafted chains away from the surface due to the presence of charges leads to higher levels of instability. These results suggest a degrafting mechanism in aqueous media wherein amplified tension at the point of grafting activates the hydrolysis of an ester bond in the initiator. We offer additional experimental insight into the nature of trace amounts of water retained in the incubated polyelectrolyte brushes following typical drying strategies, and the effect this moisture, along with the other system parameters, has on the resulting polymer brush morphology. [Preview Abstract] |
|
P1.00231: Brownian dynamics simulations of electrostatic adsorption and ordering of charged colloidal nanoparticles Jennifer Luna-Singh, Enrique Barrera, Vikas Varshney, John Kelley, Richard Vaia Self-limiting assembly of nanoparticle (NP) and biomacromolecular arrays promises to revolutionize compliant device fabrication by enabling print-on-demand. Presently, quantitative understanding of the relationship between the array order, nanoparticle size, surface characteristics, and process conditions remain elusive. Previous simulations have shown that tuning particle and surface potentials, screening lengths, and particle concentrations can lead to ordering. However, identifying the experimental conditions to observe these in-plane order-disorder and order-order transitions for NPs remains a challenge. Here in, the absorption of electrostatically stabilized NPs with increasing ratio of particle-particle repulsion to particle-surface attraction via Brownian dynamics simulations is discussed. The orientation correlation function follows the KTHNY theory of phase transition as particle and surface potentials are tuned. Detailed Voronoi analysis reveals movement and defect annihilation during the final stages of adsorption. Identifying the transition between liquid, hexatic, and crystalline NP arrays will provide experimental conditions necessary to create high resolution patterns and smaller devices. [Preview Abstract] |
|
P1.00232: On-demand degrafting of polymer brushes prepared by controlled radical polymerization on flat silica substrates Rohan Patil, Jiri Srogl, Douglas Kiserow, Jan Genzer Polymer brush degrafting refers to the removal of grafted polymer chains from the substrate without harming the polymer chemical structure. We grow poly(methyl methacrylate) (PMMA) brushes on flat silicon substrates using atom transfer radical polymerization (ATRP) and remove them from the surface by exposing the samples to tetrabutyl ammonium fluoride. We then analyze the polymer molecular weight of degrafted PMMA chains by size exclusion chromatography. The kinetics of PMMA brush degrafting exhibits double exponential behavior suggesting a transition from `brush' to `mushroom' regime. The dry brush thickness increases initially with increasing polymerization time. At longer reaction times, the thickness starts to plateau due to loss in the living nature of ATRP. We examine the relationship between the brush dry thickness and molecular weight and show that grafting density of the PMMA brush does not remain constant over the course of polymerization but reduces with time. [Preview Abstract] |
|
P1.00233: Novel structures and properties of bound polymer layers formed on planar substrates Tad Koga, Naisheng Jiang, Mani Sen, Levent Sendogdular, Xiaoyu Di, Jiaxun Wang, Alexander Saeboe, Maya Endoh By utilizing the approach proposed by Guiselin that combines prolonged thermal annealing and subsequent solvent leaching, we aim to reveal the inherent structures and properties of bound polymer layers (BPLs) formed onto planar substrates. In this presentation, we will first highlight the generality of two different nano-architectures of bound polymer chains regardless of surface-segment interactions, intramolecular architectures, and chain lengths: flattened chains that constitute the inner higher density region of the BPLs and loosely adsorbed polymer chains that form the outer bulk-like density region. Next, we will show the long-range effects associated with the ``interphase'' between the BPLs and free chains in the matrix play crucial roles in local structures and properties. Finally, we will show the unique properties of the flattened layer alone as ``substrates'' for polymer thin films. [Preview Abstract] |
|
P1.00234: Nanoporous Membranes with Chemically-Tailored Pore Walls from Triblock Terpolymer Templates Ryan Mulvenna, Jacob Weidman, John Pople, Bryan Boudouris, William Phillip Membranes generated from self-assembled block polymers have shown promise as highly permeable and selective filters; however, current syntheses of such materials lack diverse pore wall chemical functionality. Here, we report the facile synthesis of polyisoprene-$b$-polystyrene-$b$-poly($N,N$-dimethylacrylamide) (PI-PS-PDMA) using a controlled reversible addition-fragmentation chain transfer (RAFT) polymerization mechanism to yield a macromolecule with an easily-tunable molecular weight and a narrow molecular weight distribution. The PI-PS-PDMA is then cast into an anisotropic membrane using the self-assembly and non-solvent induced phase separation process (SNIPS) protocol. These membranes can be used in size-selective separations for particles as small as 8 nm in diameter. Furthermore, the PDMA block can be converted to poly(acrylic acid) (PAA) readily in the solid state, and this PI-PS-PAA terpolymer membrane can separate particles as low as 2 nm in diameter while still retaining a relatively high flux. This is the smallest reported separation for a block polymer-based membrane to date. Additionally, the PAA-lined pores serve as a conversion platform to be tuned to any other pore chemistry, which allows the membrane to be of great utility in optimizing chemistry-specific separations. [Preview Abstract] |
|
P1.00235: Analysis of desorption species from MOS structure surfaces induced by gate voltages Nozomu Hirota, Ken Hattori, Hiroshi Daimon Hot carriers, which have energies over the Fermi level, are able to activate surface reaction. A MOS structure is able to generate tunable hot carriers under gate bias-voltages, would be suitable for the hot-carrier injection to surface from the metal side, but not vacuum side. In this research, I desire to make a proposition of catalytic devices using MOS structures. Desorption is one of the important paths in catalytic reaction, and would be observed when the bais-voltage is applied. We found desorption on N$_2$O exposed MOS (Fe/SiO$_2$/$p$-Si) structure, detected by a mass spectrometer. The desorption were observed only when the metal surface was in negative polarity, the hole injection. The desorption of CH$_4$ molecules was confirmed by mass analysis with cracking corrections. Since non-polar molecules (e.g., CH$_4$) are hard to adsorb, the origin of CH$_4$ is not adsorbed one as the molecule, but the catalytic reaction. At the same time, NH$_3$ and H$_2$O background intensities decreased. We consider the following scenario. The CH$_4$ is generated from CO and adsorbed H over Fe$_x$N$_y$/SiO$_2$ surface with hot-carrier activation. The CH$_4$ formation inhibit H$_2$O and NH$_3$ suitable generation via decrease adsorbed H concentration. Most of desorption species can be expl [Preview Abstract] |
|
P1.00236: Morphology of Microscopic Thin Rubber Films Xin Zhang, Robert Briber, Howard Wang Microscopic thin rubber films have been prepared using photolithographic methods. Thin films of low molecular weight polybutadiene have been spun cast on positive photoresists, and transferred to various substrates upon UV exposure for crosslinking and defining the lateral dimension. The morphological scaling of thin rubber films as a function of film dimension and temperature is discussed. [Preview Abstract] |
|
P1.00237: Effect of Sequence Blockiness on the Morphologies of Surface-grafted Elastin-like Polypeptides Julie Albert, Kornkanok Sintavanon, Robin Mays, Sarah MacEwan, Ashutosh Chilkoti, Jan Genzer The inter- and intra- molecular interactions among monomeric units of copolymers and polypeptides depend strongly on monomer sequence distribution and dictate the phase behavior of these species both in solution and on surfaces. To study the relationship between sequence and phase behavior, we have designed a series of elastin-like polypeptides (ELPs) with controlled monomer sequences that mimic copolymers with various co-monomer sequence distributions and attached them covalently to silicon substrates from buffer solutions at temperatures below and above the bulk ELPs' lower critical solution temperatures (LCSTs). The dependence of ELP grafting density on solution temperature was examined by ellipsometry and the resultant surface morphologies were examined in air and under water with atomic force microscopy. Depositions performed above the LCST resulted in higher grafting densities and greater surface roughness of ELPs relative to depositions carried out below the LCST. In addition, we are using gradient substrates to examine the effect of ELP grafting density on temperature responsiveness. [Preview Abstract] |
|
P1.00238: Formation of gold nanoparticle assemblies in responsive polymer brushes Stephanie Christau, Regine von Klitzing, Jan Genzer The modification of surfaces by means of polymer brushes has become an active area of research during the past few years due to numerous potential applications of such systems in nano- and biotechnology. The structure and conformation of a brush depends on external stimuli such as pH, temperature or solvent type and can be manipulated by varying these attributes. This stimulus-response can be exploited for the development of smart surfaces and for sensor applications. Furthermore, brushes can be used as 3D matrices for immobilization of nanoparticles. In this study, responsive brushes are used as a matrix for the attachment of gold nanoparticles (AuNPs); this hybrid system exhibits intriguing optical properties due to the surface plasmon resonance of the AuNPs. We address the effect of some system parameters such as synthetic procedure, brush thickness, brush grafting density, particle size and particle incubation time on the characteristics of the resultant particle-impregnated brushes. We also discuss the spatial distribution of the AuNPs inside the brush with regard to the particle size, brush density and brush molecular weight. [Preview Abstract] |
|
P1.00239: Influence of external pressure and surface energies on the phase evolution of ultrathin blend films under symmetrical confinement Zheng Zhang, Zhen Wang, Yifu Ding We investigate the phase evolution of an ultrathin (~100 nm) PS/PMMA blend film strongly confined between two parallel rigid plates with identical surface energy. The symmetry was achieved by pressurizing a spun-cast PS/PMMA film on a silicon wafer with a native oxide layer against another silicon wafer under a nanoimprinter. During subsequent annealing without pressurization, preferential wetting of a component (PMMA) occurred on both substrates, leading to phase inversion. The correlation wavelength in the final morphologies was reduced in half, compared with non-capped systems. When annealed while maintaining an external pressure, the predominant preferential substrate wetting of PMMA was prevented completely. [Preview Abstract] |
|
P1.00240: Friction of ring-polymer brushes Aykut Erbas, Jaroslaw Paturej Polymeric brushes are unique soft structures that can exhibit extremely low friction forces when they slide past on each other. For neutral brushes composed of linear chains, the low friction is due to reduction in the thickness of interpenetration layer between two sliding brushes. Here, by means of computer simulations and scaling arguments, we show that the interpenetration layer is even narrower for ring-polymer brushes. This effect, in turn, leads to lower friction forces compared to those observed for linear-polymer brushes. We expect more dramatic changes for brushes made of polymers that are much longer than corresponding entanglement sizes. [Preview Abstract] |
|
P1.00241: Nanoparticle adhesion on soft substrates Zhen Cao, Andrey Dobrynin, Andrew Oyer, Mark Stevens Using combination of the molecular dynamics simulations and theoretical calculations we study adhesion of spherical and cylindrical nanoparticles on soft substrates. The nanoparticle and substrate deformations are obtained as a function of the nanoparticle and substrate crosslinking density, nanoparticle size and substrate thickness, surface energy of nanoparticles and substrate, and work of adhesion. We have showed that the classical JKR model can be applied to describe nanoparticle adhesion when deformation of both substrate and nanoparticle are small. In this so-called JKR-regime the deformations of substrates and nanoparticles are determined by balancing the elastic energy of deformed objects and work of adhesion between nanoparticle and substrate. However, in the case of soft substrates and nanoparticles when both objects undergo large deformations their equilibrium shapes are determined by balancing the surface energy and work of adhesion (the so-called wetting regime). We present a simple scaling model describing crossover between JKR and wetting regimes. The model predictions are in a very good agreement with simulation results. [Preview Abstract] |
|
P1.00242: Mechanical properties of photo-polymerized sustainable epoxy materials from vegetable oils Chang Ryu, Matthew Ravalli, Zheqin Yang, James Crivello Our research program aimed at advancing our ability to tailor the photocationic polymerization and physical properties of sustainable epoxy materials derived from crosslinked epoxidized vegetable oils using onium salt photoinitiators. Specifically, we developed solventless, photopolymerizable epoxy monomer and oligomer systems derived from sustainable biorenewable sources as alternatives to existing highly polluting and energy-intensive thermal curing of epoxy resin chemistry. Two sustainable epoxy network polymer systems will be presented to investigate how the network formation can be controlled. The first system is a series of epoxidized vegetable oils that offer various degrees of crosslinking densities, and the second system represents the blends of epoxidized vegetable oils with epoxidized terpenes to tailor their photocuring and mechanical properties for the potential usage in ``green'' coating, adhesive, 3D printing, and composite applications. [Preview Abstract] |
|
P1.00243: Oxidatively Responsive Chain Extension to Topologically Entangle Engineered Protein Hydrogels Bradley Olsen, Shengchang Tang, Matthew Glassman, Shuaili Li, Simona Socrate Hydrogels with increased toughness and extensibility have attracted a great deal of interest as mimics for natural tissues in biomedical applications. Artificial protein polymers provide particularly attractive systems for these applications due to their similarity to the chemistry of the natural extracellular matrix. Here, we show that entanglements can be incorporated into physically associating protein gels using simple oxidative chain extension chemistries, producing hydrogels with multiple time and length scales of relaxation. These oxidative chemistries follow the Jacobson-Stockmayer theory and are fully reversible, enabling responsive formation of entanglements within a material. The entangled protein gels demonstrate extensibility up to engineering strains of greater than 3,000{\%}, a toughness of 65,000 J/m\textasciicircum 3, and significant reductions in creep compliance and increases in elastic recovery. The rheology of the materials is compared to sticky reptation theory as a function of gel concentration, providing insights into the effect of network structure on different modes of molecular relaxation. [Preview Abstract] |
|
P1.00244: Viscoelastic properties of levan polysaccharides Kenneth Noll, Deniz Rende, Rahmi Ozisik, Ebru Toksoy-Oner Levan is a naturally occurring polysaccharide that is composed of $\beta $-D-fructofuranose units with $\beta $(2-6) linkages between fructose rings. It is synthesized by the action of a secreted levansucrase (EC 2.4.1.10) that converts sucrose into the levan externally (exopolysaccharide). Levan is a homopolysaccharide that is non-toxic, water soluble,, and has anti-tumor activity and low immunological response. Therefore, levan presents great potential to be used as a novel functional biopolymer in foods, feeds, cosmetics, pharmaceutical and chemical industries. Despite these favorable properties, levan has a moderately low mechanical properties and poor film forming capability. In the current study, the agglomeration behavior of levan in water and in saline solutions was investigated at 298 and 310 K by dynamic light scattering and transmission electron microscopy (TEM). The viscoelastic properties of neat and oxidized levan films were studied via nanoindentation experiments in the quasi-static and dynamic modes [Preview Abstract] |
|
P1.00245: Viscoelastic Properties of Entangled DNA Solutions: Dependence on Molecular Length and Concentration Patrick Smith, Veselin S. Dobrev, Jeff Urbach, Rae Anderson We use macroscopic rheology to investigate the viscoelastic properties of solutions of monodisperse linear DNA, as a function of DNA length and concentration. We span from the unentangled to the entangled regime by using DNA lengths that vary from 11 to 115 kilobasepairs (3.7 to 39 $\mu$m) and solution concentrations that range between 0.5 and 4.0 mg/ml. We investigate the effects of oscillatory frequency on the linear elastic (G$'$) and viscous (G") moduli, with frequency values of 0.01 - 100 Hz. In addition, the dependence of viscosity on strain rate is studied with strain rates ranging from 0.01 to 100 Hz. Importantly, these studies are the first to examine the molecular length dependence of linear viscoelastic properties for concentrated DNA solutions. Results are compared to theoretical predictions based on the Rouse model and reptation model for unentangled and entangled polymer solutions, respectively. [Preview Abstract] |
|
P1.00246: Cellular Automata Simulations of Thermal and Electrical Transport Properties of Thin-Film Polymer/CNTs Nanocomposites Alex Casey, Germano Iannacchione, Georgi Georgiev, Peggy Cebe A computational algorithm has been developed to simulate the transport properties of oriented and un-oriented thin film nanocomposites of isotactic Polypropylene (iPP) and carbon nanotubes (CNT) with increasing CNT concentration. Our goal is to be able to design materials with optimal properties using simulations. We use cellular automata approach in Matlab simulation environment. The percolation threshold is reproduced in the simulations, matching experimental data. Upon percolation, the thermal transport in the films increases sharply, more so for the electrical than for the thermal conductivity, due to the larger difference in the electric conductivities of the CNTs and the polymer. To verify the simulation, the thin-film samples were sheared in the melt at 200 C at 1 Hz in a Linkan microscope shearing hot stage. The thermal and electrical conductivity measurements were performed on the same cell arrangement with the transport perpendicular to the thin-film plane using a DC method. The thermal and electrical conductivity are higher for the un-sheared as compared to the sheared samples with stronger temperature dependence for the latter as compared to the former. Our cellular automata simulations provide information about the microstructure-macroscopic property relation in the thin film nanocomposites and can be extended to simulations of other important materials. [Preview Abstract] |
|
P1.00247: STATISTICAL AND NON LINEAR PHYSICS |
|
P1.00248: Energy Spreading in Strongly Nonlinear Lattices Arkady Pikovsky, Mario Mulansky Dynamics of strongly nonlinear lattices one often describes as ``sonic vacuum,'' as the linear phonons do not exist and the only propagating modes are nonlinear ones. In the presence of a disorder, nonlinear propagating waves do not exist, and the energy spreading, due to chaotic excitation of sites, is characterized by a slow subdiffusion. Using a nonlinear diffusion equation as a phenomenological model, we establish numerically scaling properties of the subdiffusion, for different parameters of nonlinearities. [Preview Abstract] |
|
P1.00249: Isothermal Pressure Measurements of a Lattice Gas in Two and Three Dimensions Using a Random Walk Model Alex Spielman, Paul Quinn, Sally Warner We explore a computational random walk method of measuring the isothermal pressure of a lattice gas with and without the excluded volume interaction as introduced by [Hong and McGouldrick, Physica A. 225, 415(1998)]. The method is based on the discretization of the exact thermodynamic equations for the pressure. We expand the analysis to include the use of the Van Der Waals potential and the Yukawa potential. The analysis is also expanded to three dimensions and multiple walls. Simulation results were produced that are in excellent agreement with the theoretical predictions. [Preview Abstract] |
|
P1.00250: High-precision Monte-Carlo study of the two-dimensional contact process Stephen Kraus, Thomas Vojta We investigate the absorbing-state nonequilibrium phase transition in the two-dimensional contact process by means of large-scale Monte-Carlo simulations. We perform spreading runs starting from a single active site in an otherwise inactive host and combine a reweighting technique with a careful extrapolation of the effective exponents to infinite time. This allows us to determine the critical behavior in the two-dimensional directed percolation universality class with unprecedented accuracy. [Preview Abstract] |
|
P1.00251: ABSTRACT WITHDRAWN |
|
P1.00252: Optimum survival strategies against zombie infestations - a population dynamics approach Bruno Mota We model a zombie infestation by three coupled ODEs that jointly describe the time evolution of three populations: regular humans, zombies, and survivors (humans that have survived at least one zombie encounter). This can be generalized to take into account more levels of expertise and/or skill degradation. We compute the fixed points, and stability thereof, that correspond to one of three possible outcomes: human extinction, zombie extermination or, if one allows for a human non-zero birth-rate, co-habitation. We obtain analytically the optimum strategy for humans in terms of the model's parameters (essentially, whether to flee and hide, or fight). Zombies notwithstanding, this can also be seen as a toy model for infections of immune system cells, such as CD4+ T cells in AIDS, and macrophages in tuberculosis, whereby cells are both the target of infection, and mediate the acquired immunity response against the same infection. [Preview Abstract] |
|
P1.00253: Driven magnetic vortices in a type-II superconductor: Relaxation following sudden parameter quenches Harshwardhan Chaturvedi, Ulrich Dobramysl, Michel Pleimling, Uwe T\"{a}uber Type-II superconductors in the mixed phase display complex non-equilibrium phenomena governed by competing energy, length, and time scales. We model the magnetic vortices in the extreme London limit as interacting elastic lines. We employ a Langevin Molecular Dynamics algorithm to study the non-equilibrium relaxation behavior of relevant two-time correlation functions in the presence of point or columnar pinning centers. We consider the effects of sudden external control parameter quenches at non-zero external driving currents, as the vortex system relaxes towards a new non-equilibrium steady state. [Preview Abstract] |
|
P1.00254: Localization of Curvature and Relaxation of Stress Due to an Isolated Disclination in Crystalline Membrane Yiwei Sun, Benny Davidovitch, Gregory M. Grason A crystalline membrane with an isolated disclination buckles below a critical thickness. Examples include mechanical models of viral capsids--pentavalent and hexavalent units assembled into triangulated shells--that show a pronounced faceting above a critical size. While buckling from the planar state has been studied previously in coarse-grained simulations, questions remain regarding the organization of structure and mechanics of the buckled state. Specifically, how is elastic stress distributed within the membrane; more precisely -- how does this mechanical state evolve from the buckling threshold to the asymptotic limit of vanishing thickness, where the shape is expected to be isometric (conical) nearly everywhere? We employ a combination of numerical and analytic approaches to studying the solutions of the F\"{o}ppl-von K\'{a}rm\'{a}n equations describing the shape of and stress in circular sheets possessing a 5-fold defect. Despite the complexity underlying the solution of these highly nonlinear relations, we search for much simpler set of mechanical principles to quantitatively capture the inhomogeneous concentration of stress and shape deformation throughout the full range of the von K\'{a}rm\'{a}n number. [Preview Abstract] |
|
P1.00255: Kinetics and Mechanics of Asymmetric Closure in the Venus Flytrap's Fast Motion Zi Chen, Stephen Xie In this work, we aimed to investigate the biomechanical mechanisms behind rapid movements in plants by studying the Venus flytrap (Dionaea Muscipula) and some of the peculiar features of its unique snapping mechanism. After two consecutive stimulations of the interior trigger hairs, equilibrium within each leaf lobe is upset and each transitions from a stable convex state to a stable concave state. While one could assume the motions of two leaves to be symmetric, they are not in a lot of cases. When stimulation of trigger hairs occurs unilaterally, for example, the stimulated leaf lobe sometimes closes more rapidly than the other leaf lobe. We recorded multiple closures on a number of traps with varied stimuli locations with a high speed camera to explore the roles of intrinsic and extrinsic factors that drive this asymmetric trap closure. Three material points were tracked over time for each closure, allowing calculation of the angular speed and acceleration of the distal edge of each lobe. Analysis of the angle differences between lobes and the location of stimuli clarified how extrinsic, unilateral stimuli drive asymmetric closure. It can be argued that there could also be some biological advantages in capturing moving preys through this asymmetric motion. Ultimately, the principles derived from studying the Venus flytrap may inspire designs for bio-mimetic devices leading to a range of engineering applications. [Preview Abstract] |
|
P1.00256: Quantum physics and complex networks Jacob Biamonte There is a widely used and successful theory of ``chemical reaction networks,'' which provides a framework describing systems governed by mass action kinetics. Computer science and population biology use the same ideas under a different name: ``stochastic Petri nets.'' But if we look at these theories from the perspective of quantum theory, they turn out to involve creation and annihilation operators, coherent states and other well-known ideas---yet in a context where probabilities replace amplitudes. I will explain this connection as part of a detailed analogy between quantum mechanics and stochastic mechanics which we've produced several results on recently [1, 2, 3], including the recent analytical results uniting quantum physics and complex networks [2]. Our general idea is about merging concepts from quantum physics and complex network theory [1, 2, 3, 4] to provide a bidirectional bridge between both disciplines.\\[4pt] [1] Quantum Techniques for Stochastic Mechanics, 235 pages, arXiv:1209.3632 (2012);\\[0pt] [2] Degree Distribution in Quantum Walks on Complex Networks, Phys. Rev. X 3, 041007 (2013);\\[0pt] [3] Quantum Transport Enhancement by Time-Reversal Symmetry Breaking, Sci. Rep. 3, 2361 (2013);\\[0pt] [4] Community Detection in Quantum Complex Networks, arXiv:1310.6638 (2013). [Preview Abstract] |
|
P1.00257: Mechanical response of tumor cells flowing through a microfluidic capillary Zeina S. Khan, Nabiollah Kamyabi, Fazle Hussain, Siva A. Vanapalli Circulating tumor cells, the primary cause of cancer metastasis, are transported throughout the body to distant organs by blood flow. Despite the importance of cell transport and deformability in the vasculature for cancer metastasis, quantitative understanding of the hydrodynamic interactions between the cells and the blood vessel walls is lacking. Using a model microfluidic capillary of rectangular cross-section with an on-chip manometer coupled with high speed video imaging, we quantitatively investigate the hydrodynamic behavior via the cell excess pressure drop. By characterizing our device with simple model systems including viscous drops and soft elastic particles, we find that the excess pressure drop shows no apparent dependence on elastic modulus or interfacial tension, but depends significantly on internal viscosity for moderate confinements and shear stresses within the physiological range of 1-10 Pa. This suggests that the metastatic potential of circulating cells can be characterized by the effective viscosity. We test this hypothesis with several tumor cell lines and find that the effective cell viscosity determined from excess pressure drop measurements can be used to differentiate highly from lowly invasive cells. [Preview Abstract] |
|
P1.00258: A photolithographic fabrication technique for magnetohydrodynamic micropumps Stephen Kuenstner, Martha-Elizabeth Baylor Magnetohydrodynamic (MHD) devices use perpendicular electric and magnetic fields to exert a Lorentz body force on a conducting fluid. Miniaturized MHD devices have been used to create pumps, stirrers, heat exchangers, and microfluidic networks. Compared to mechanical micropumps, MHD micropumps are appealing because they require no moving parts, which simplifies fabrication, and because they are amenable to electronic control. This abstract reports the fabrication and testing of a centimeter-scale MHD pump using a thiol-ene/methacrylate-based photopolymer and mask-based photolithographic technique. Pumps like this one could simplify the fabrication of sophisticated optofluidic devices, including liquid-core, liquid cladding (L$^{2})$ waveguides, which are usually created with PDMS using stamps, or etched into silicon wafers. The photolithographic technique demonstrated here requires only one masking step to create fluid channels with complex geometries. [Preview Abstract] |
|
P1.00259: Ion transport barrier in water-filled nanochannels Tobias Gulden, Michael Janas, Alex Kamenev In recent experiments great progress was achieved in manipulating and measuring transport of dissolved ions through nanochannels, and several effects were identified which affect the conductance. We seek for a theoretical description of ion transport. A narrow channel may be treated as an effective 1-dimensional statistical system of dissolved ions. This may be mapped onto (in general) non-Hermitian quantum mechanics. We develop its semiclassical treatment by identifying constant energy manifolds as Riemann surfaces in complex phase space. Spectrum and bandwidth can be calculated from the principal actions on this manifold. This brings analytic results for thermodynamic and transport properties of nanofluidic channels, which are in perfect agreement with numerical simulations. [Preview Abstract] |
|
P1.00260: Light induced effects in chiral liquid crystals: the role of local heating Kathryn Reddy, Petr Shibaev, Andrey Iljin Response of chiral viscoelastic liquid crystals doped with novel chiral azo dyes to a light irradiation was studied at different wavelengths and powers of incoming light. Light irradiation resulted in a shift of the selective reflection band and structural changes in cholesteric liquid crystal. Very often in scientific literature these effects are exclusively attributed to the isomerization of azo dyes. However, both effects in chiral liquid crystals can be explained in terms of i. changes of elastic constants, ii. volume changes, iii. helical pitch changes. Theoretical analysis of these factors shows that they can be dependent on local heating. The role of local heating is studied experimentally by using a number of fast responding thermo sensors. It is found that the role of heating can not be excluded from consideration for many liquid crystals displaying sharp temperature dependence of their parameters. For some liquid crystals local heating can be responsible for the observed effects. [Preview Abstract] |
|
P1.00261: Topological properties of complex networks in protein structures Kyungsik Kim, Jae-Won Jung, Seungsik Min We study topological properties of networks in structural classification of proteins. We model the native-state protein structure as a network made of its constituent amino-acids and their interactions. We treat four structural classes of proteins composed predominantly of $\alpha $ helices and $\beta $ sheets and consider several proteins from each of these classes whose sizes range from amino acids of the Protein Data Bank. Particularly, we simulate and analyze the network metrics such as the mean degree, the probability distribution of degree, the clustering coefficient, the characteristic path length, the local efficiency, and the cost. [Preview Abstract] |
|
P1.00262: Least Unit Action and Maximum Total Action for Complex Flow Networks Georgi Georgiev, Alexander Casey, Hyunseung Lee, Johanna Thomson There are two types of complex systems: those that exist until an external energy gradient is equilibrated and those that increase the energy gradient and drive themselves further out of equilibrium. To the first type belong dissipative structures, such as Bernard cells, vortices and others. The second type exhibits continuous self-organization and increased robustness, as in biological and social networks. Represented as flow networks, those systems have two attractors: a decrease in unit physical action, which is the action necessary for one element of the system to cross one edge, and an increase in total physical action, which is the sum of the actions of all elements in the system. The increase of total action drives series of phase transitions decreasing the unit action. The decrease of unit action creates a region of space where the probability increases for matter and energy from the environment to be included in the system. The positive feedback between the least unit action and the maximum total action leads to a process of exponential growth of both of them characterizing developing systems and is ubiquitous in nature. We present data for sample systems that follow those two trends. [Preview Abstract] |
|
P1.00263: Fluctuation Theorems for Polymer Dynamics in Flow Folarin Latinwo, Charles M. Schroeder In this work, we discuss the application of the Crooks fluctuation theorem and the Jarzynski equality to study the dynamics of polymers in highly non-equilibrium fluid flows. The physics of polymer chains in flow strongly depends on the equilibrium and non-equilibrium steady state properties. From this viewpoint, the framework provided by Crooks and Jarzynski holds the potential open new routes for understanding polymer physics. We find that the general framework given by fluctuation theorems allows for the determination of equilibrium and non-equilibrium free energy landscapes of polymer solutions in flow. In particular, we use a combination of single molecule experiments and Brownian dynamics simulations to analyze individual polymer stretching trajectories in flow. Using this approach, we show that equilibrium properties such as polymer relaxation time and chain elasticity can be determined from a wide array of fundamental steady-state properties. Overall, our work connects the equilibrium and non-equilibrium properties of dilute polymer solutions, which provides a new platform to study the dynamics of flowing soft matter systems. [Preview Abstract] |
|
P1.00264: Physical Foundations of Self-organizing Systems Atanu Chatterjee, Georgi Georgiev The appearance of coherent global pattern due to local interactions is known as self-organization. Self-organization is a~spontaneous~process in highly non-equilibrium dissipative systems that form structures which tend to maximize energy dissipation by leveling off energy gradients. This follows as a direct consequence of the Second Law of Thermodynamics. Also,~a local interaction~embodies in the above definition a mechanistic dimension to self-organization. The link between mechanics and the Second Law of Thermodynamics lie in the Principle of Least Action, a strong law of nature that is obeyed in every spontaneous process. Thus, self-organization rests on two basic foundational principles of nature namely, the Second Law of Thermodynamics and the Principle of Least Action. We attempt to develop a formal definition of self-organization based on those principles. [Preview Abstract] |
|
P1.00265: Reconstructing topological properties of complex networks from partial information using the Fitness Model Andrea Gabrielli, Stefano Battiston, Guido Caldarelli, Nicol\'o Musmeci, Michelangelo Puliga We present a new method to reconstruct global topological properties of complex networks starting from limited information. We assume to know for all nodes a non-topological quantity that we interpret as fitness, while the degree is known only for a subset of the nodes. We then use a fitness model, calibrated on the subset of nodes for which degrees are known, to generate ensembles of networks. We focus on topological properties relevant for processes of contagion and distress propagation in networks, i.e. network density and $k$-core structure. We study how well these properties can be estimated as a function of the size of the subset of nodes utilized for the calibration. We perform a first test on ensembles of synthetic networks generated with the Exponential Random Graph model. We then perform a second test on empirical networks taken from economic and financial contexts (World Trade Web and e-mid interbank network). In both cases, we find that a subset as small as 10{\%} of nodes can be enough to estimate the properties of the network with an error of~5{\%} [1,2]. \\[4pt] [1] N. Musmeci, S. Battiston, G. Caldarelli, M. Puliga, A. Gabrielli, J. Stat. Phys. \textbf{151}, 720 (2013).\\[0pt] [2] G. Caldarelli, A. Chessa, A. Gabrielli, F. Pammolli, M. Puliga, Nature Phys. \textbf{9}, 125 (2013). [Preview Abstract] |
|
P1.00266: Transient Spatiotemporal Chaos in a Synaptically Coupled Neural Network Jacopo Lafranceschina, Renate Wackerbauer Spatiotemporal chaos is transient in a diffusively coupled Morris-Lecar neural network. This study shows that the addition of synaptic coupling in the ring network reduces the average lifetime of spatiotemporal chaos for small to intermediate coupling strength and almost all numbers of synapses. For large coupling strength, close to the threshold of excitation, the average lifetime increases beyond the value for only diffusive coupling, and the collapse to the rest state dominates over the collapse to a traveling pulse state. The regime of spatiotemporal chaos is characterized by a slightly increasing Lyaponov exponent and degree of phase coherence as the number of synaptic links increases. The presence of transient spatiotemporal chaos in a network of coupled neurons and the associated chaotic saddle provides a possibility for switching between metastable states observed in information processing and brain function. [Preview Abstract] |
|
P1.00267: The game of go as a complex network Bertrand Georgeot, Olivier Giraud, Vivek Kandiah We have studied the game of go, one of the most ancient and complex board games, from a complex network perspective. We have defined a proper categorization of moves taking into account the local environment, and shown that in this case Zipf's law emerges from data taken from real games. The network shows differences between professional and amateur games, different level of amateurs, or different phases of the game. Certain eigenvectors are localized on specific groups of moves which correspond to different strategies (communities of moves). The point of view developed should allow to better modelize such games and could also help to design simulators which could in the future beat good human players. Our approach could be used for other types of games, and in parallel shed light on the human decision making process. [Preview Abstract] |
|
P1.00268: Stability of Heterogeneous Ecosystem Yang-Yu Liu, Gang Yan, Albert-Laszlo Barabasi Stability of ecosystem measures the tendency of a community to return to equilibrium after environmental perturbation, which is severely constrained by the underlying network structure. Despite significant advances in uncovering the relationship between stability and network structure, little attention has been paid to the impact of the degree heterogeneity that exists in real ecosystems. Here we show that for networks with mixed interactions of competition and mutualism the degree heterogeneity always destabilizes the ecosystem. Surprisingly, for predator-prey interactions (e.g., food webs) high heterogeneity is destabilizing yet moderate heterogeneity is stabilizing. These findings deepen our understanding of the stability of real ecosystems and may also have implications in studying the stability of more general complex dynamical systems. [Preview Abstract] |
|
P1.00269: Statistical mechanical studies on the information processing with quantum fluctuation Yosuke Otsubo, Jun-ichi Inoue, Kenji Nagata, Masato Okada Quantum fluctuation induces the tunneling between states in a system and then can be used in combinatorial optimization problems. Such an algorithm is called quantum adiabatic computing. In this work, we investigate the quality of an information processing based on Bayes inference with the quantum fluctuation through the statistical mechanical approach. We then focus on the error correcting codes and CDMA multiuser demodulation which are described by conventional solvable spin glass models and can be analyzed by replica method in the thermodynamic limit. Introducing the quantum fluctuation into the decoding process of each problem, which is called quantum maximizer of the posteriori probability (QMPM) estimate, we analyze the decoding quality and then compare the results with those by the conventional MPM estimate which corresponds to finite temperature decoding From our limited results, the MPM based on the quantum fluctuation seems to achieve the same decoding quality as the thermal MPM does. We clarify the relationship between the optimal amplitude of transverse field and temperature for the mixture of quantum and classical MPMs. [Preview Abstract] |
|
P1.00270: Study of Jamming Front Propagating in Particle-Suspended Solutions Youjing Guo, Xiaorong Wang, Yongli Mi Dense suspensions under shear or impact may show sudden thickening or solidification. This solidification front was proposed to propagate at a rate that would be fast but finite. A direct observation of this rapidly jammed mass around an intruding object would be very important. In this study, we report a simple experiment that is able to provide a direct observation of the shape, the size, the composition, and the propagation rate of a quickly jamming front. Our results suggest that the shape of the jamming front depends solely on the shape of the flow vector fields. [Preview Abstract] |
|
P1.00271: Effect of gas flow on granular convection in a vertically vibrated bed Fuweng Zhang, Li Wang, Chuanping Liu, Zhaowu Chi To probe the effect of gas flow on convection in a vibrated granular bed, a gas flow is introduced into the granular bed from its perforated bottom. The convection strength does not follow a monotonic relationship with the velocity of gas flow $v$. When $v$ is small, convection of grains is formed with a heap, in which the convective flow is upward in the center and downward near the sidewalls, known as the upward mode convection. The convection disappears gradually with increasing $v$. There is a critical value of velocity $v_{\mathrm{c}}$, at which no appreciable convection motion is observed and the granular surface becomes flat. When the velocity slightly exceeds $v_{\mathrm{c}}$, the convection reappears with reversed direction. The grains drop in the center and rise along the sidewalls, resulting in the downward mode convection. The bed becomes completely fluidized and the convective motion is disappeared completely by further increasing velocity of gas flow. $v_{\mathrm{c}}$ is dependent on vibration amplitude and wall roughness, while almost independent on the vibration frequency. Due to the wall effect and decrease of effective gravity, the gas flow may reduce the strength and even reverse the direction of convection. [Preview Abstract] |
|
P1.00272: Emergence of Correlations in Contact Structure of Disordered Binary Sphere Packings Ishan Prasad, Christian Santangelo, Gregory Grason We study structural and network properties of random, binary sphere packings. In particular, we are interested in studying how bi-dispersity and sphere composition influence structural features such as packing density, local contact geometry and like-particle connectivity in such systems. It is known that for binary spheres with very large size asymmetry, there exists a special composition which yields maximum packing density. We calculate the contact distribution for this configuration, and find that this special point seems to control the structural features in its vicinity. In our simulations, jammed configurations of athermal spheres having soft repulsive potential are generated as a function of size ratio and volume fraction. Beyond certain size ratio and comparable weight fractions, we find an excess of unlike (large sphere - small sphere) contacts in these packings which make them inhomogeneous, we define it's measure as the Inhomogeneity Parameter. Such an inquiry on local contact and global connectivity would help better understand transport properties in random networks of bi-disperse spheres. [Preview Abstract] |
|
P1.00273: Effects of Cohesion On the Dynamic Response of A Conical Bead Pile Paroma Palchoudhuri, Susan Lehman, D.T. Jacobs We investigate the critical behavior of a 3D conical bead pile built from uniform 3~mm steel spheres. The pile is initially built on a circular base and is subsequently slowly driven through the addition of one bead at a time to the apex of the pile. We investigate the dynamic response of the pile by recording avalanches from the pile over the course of tens of thousands of bead drops, and determining the resulting distribution of avalanche size. In previous work, we have shown that dropping the beads onto the pile from a greater height causes the distribution to deviate from a simple power law due to a stark reduction in number of the largest avalanches. By placing the pile in a uniform magnetic field, we are now observing changes in the avalanche size distribution due to cohesion. When there is cohesion between beads, we find an increase in probability for the largest avalanches and a strong decrease in the probability of medium-sized avalanches. We also observe an increase in the time between avalanches as the cohesion of the system increases. Preliminary results on the effect of simultaneously increasing cohesion, which tends to make large avalanches more probable, and increasing drop height, which tends to make large avalanches less probable, will also be presented. [Preview Abstract] |
|
P1.00274: Jamming of Monodisperse Cylindrical Grains in Featureless Vertical Channels Nicholas Friedl, G. William Baxter We study jamming of low aspect-ratio cylindrical Delrin grains falling through a featureless vertical channel under the influence of gravity. These grains have an aspect-ratio less than two ($\frac{H}{D} < 2$) and resemble aspirin tablets, 35mm film canisters, poker chips, or coins. Monodisperse grains are allowed to fall under the influence of gravity through a uniform channel of square cross-section where the channel width is greater than the grain size and constant along the length of the channel. No combination of grain heights and diameters is equal to the channel width. Collections of grains sometimes form jams, stable structures in which the grains are supported by the channel walls and not by grains or walls beneath them. The probability of a jam occurring and the jam's strength are influenced by the grain dimensions and channel width. We will present experimental measurements of the jamming probability and jam strength and discuss the relationship of these results to other experiments and theories. [Preview Abstract] |
|
P1.00275: Properties Affecting the Angle of Repose in a Vertically Vibrated Container of Granular Materials Odysseus Fox, Paul Quinn, Thomas Tweddle Experiments are conducted using various granular materials subject to a vertical vibration. The angle of repose is studied while varying certain parameters of the system, such as vibration amplitude, vibration frequency, initial height, grain size, container size, and container shape. Empirical relationships are found for the angle of repose as a function of each of these variables. In particular, we compare the results when using a homogeneous material as compared to an inhomogeneous material with varied sizes of particles. We also examine the surface structure and relate it to the propagation of energy through the vibrating system of particles. [Preview Abstract] |
|
P1.00276: Contagion dynamics in time-varying metapopulation networks Nicola Perra, Suyu Liu, Andrea Baronchelli The metapopulation framework is adopted in a wide array of disciplines to describe systems of well separated yet connected subpopulations. The subgroups/patches are often represented as nodes in a network whose links represent the migration routes among them. The connections has been so far mostly considered as static, but in general evolve in time. Here we address this case by investigating simple contagion processes on time-varying metapopulation networks. We focus on the SIR process, and determine analytically the mobility threshold for the onset of an epidemic spreading in the framework of activity-driven network models. We find profound differences from the case of static networks. The threshold is entirely described by the dynamical parameters defining the average number of instantaneously migrating individuals, and does not depend on the properties of the static network representation. Remarkably, the diffusion and contagion processes are slower in time-varying graphs than in their aggregated static counterparts, the mobility threshold been even two orders of magnitude larger in the first case. The presented results confirm the importance of considering the time-varying nature of complex networks. [Preview Abstract] |
|
P1.00277: Non-universal anomalous diffusion and adsorption in asymmetric random walks on hierarchical networks Lauren Ball, Alfred Farris, Stefan Boettcher We study an asymmetric random walk on a network consisting of a one-dimensional line and hierarchy of small-world links, called the Hanoi network.\footnote{"Geometry and Dynamics for Hierarchical Regular Networks," S. Boettcher, B. Goncalves, and J. Azaret, JPA 41, 335003 (2008).} Walkers are biased along the one-dimensional line, and move in the opposite direction only along the long-range links with a probability $p$. We study the mean-square displacement $\langle r^2\rangle\sim t^{\frac{2}{d_w}}$ and find that the anomalous diffusion exponent $d_w$ depends on $p$. The behavior ranges from ballistic motion ($d_w(p=0)=1$) to an adsorped state ($d_w(p_c)=\infty$). This phase transition to the adsorped state occurs at a finite $p_c<1$. We use simulations and the renormalization group to determine these properties. [Preview Abstract] |
|
P1.00278: Percolation approach to discharge phenomenon in nonlinear resistor network Shogo Matsumoto, Takashi Odagaki In order to understand the discharge process such as the lightning and streamer discharge, we focus on the percolation of ionized regions which are produced by the local electric field and remote ionization. Exploiting a two dimensional nonlinear resistor network in a square lattice, we investigate discharge when two opposite sides of the lattice are subjected to a constant voltage difference. Each site is assumed to be ionized randomly with probability in proportion to the strength of the electric field, and the resistivity between ionized sites is assumed to be million times smaller than the original resistivity. Using Monte Carlo simulation, we obtain the current and the distribution of clusters of ionized sites as functions of the fraction of ionized sites. The current begins to rise up at a critical point, signifying the transition of non-conductive to conductive state. We find that the critical point for the current is much smaller than the critical percolation probability of the standard site percolation. We also report that singular behavior of the cluster distribution is expected at a critical fraction different from that for the current, and discuss the scaling relation among critical exponents obtained from the current and the cluster distribution. [Preview Abstract] |
|
P1.00279: Diverse phenomena in conservative surface dynamics with broken parity Martin Gelfand, R. Mark Bradley Local, conservative surface dynamics with linear instabilities in systems ranging from ion-bombarded surfaces to step flow in homoepitaxy to wind-blown sand have all been described by the conserved Kuramoto-Sivashinsky (cKS) equation. The cKS equation is well understood and exhibits coarsening. When parity symmetry is absent, it would seem that a nonlinear term of the form $\partial_x (\partial_x u)^2$ ought to be included, but its effects do not appear to have been studied previously. In the absence of the cKS nonlinearity the parity-breaking nonlinearity would lead to finite-time singularities; but when both nonlinear terms are present the solutions are well behaved. At least two qualitatively distinct behaviors are possible, depending on parameters: coarsening, as in the cKS equation, and non-coarsening ``traveling wave'' solutions with prominent features that are mostly frozen-in at short times and an envelope that evolves much more slowly than the wave speed. [Preview Abstract] |
|
P1.00280: A superposition test for the emergence of nonlinearities in a laser irradiated spherical absorber Eshel Faraggi, Bernard Gerstman The principal of linear superposition is investigated in the computational system of a solid spherical absorber immersed in a transparent aqueous medium and illuminated by a laser pulse. The absorber is exposed to a single top-hat pulse and to a fraction of the pulse from which a superimposed response is calculated. The results clearly show the transition of the system from a low fluence linear state where superposition is valid to a high fluence nonlinear state where the superposition is violated. The procedure described in the text can be used to find the transition to nonlinearity in a given excited system. Comments are also given for the relevance of these results to the general study of dynamical systems. [Preview Abstract] |
|
P1.00281: Order and chaos in the rotation and revolution of two massive line segments Maggie Lankford, John Lindner As a generalization of Newton's two body problem, we explore the dynamics of two massive line segments interacting gravitationally. The extension of each line segment or slash (/) provides extra degrees of freedom that enable the interplay between rotation and revolution in an especially simple example. This slash-slash (//) body problem can thereby elucidate the dynamics of non-spherical space structures, from asteroids to space stations. Fortunately, as we show, Newton's laws imply exact algebraic expressions for the force and torque between the slashes, and this greatly facilitates analysis. The diverse dynamics include a stable synchronous orbit, families of unstable periodic orbits, generic chaotic orbits, and spin-orbit coupling that can unbind the slashes. In particular, retrograde orbits where the slashes spin opposite to their orbits are stable, with regular dynamics and smooth parameter spaces, while prograde orbits are unstable, with chaotic dynamics and fractal parameter spaces. [Preview Abstract] |
|
P1.00282: Computer simulation of the classical entanglement of U-shaped particles in three dimensions Brian Maddock, John Lindner Classical entanglement is important in a wide range of phenomena, such as velcro hook-and-loop-fasteners, seed dispersal by animal fur, and bent liquid crystal molecules. We present a computer simulation of the entanglement of U-shaped particles in three dimensions. We represent the particles by phenomenological potentials and evolve them by integrating Newton's laws of motion. We drop them into a virtual cylinder, shake them, and ultimately release the cylinder. As the particle piles relax, we quantify their entanglement by the exponential decay times of their heights, which we correlate to the particles' height-to-length ratios. [Preview Abstract] |
|
P1.00283: Stress-energy distribution for a cylindrical artificial gravity field via the Darmois-Israel junction conditions of general relativity Nicolae Istrate, John Lindner We design an Earth-like artificial gravity field using the Darmois-Israel junction conditions of general relativity to connect the flat spacetime outside an infinitesimally thin cylinder to the curved spacetime inside. In the calculation of extrinsic curvature, our construction exploits Earth's weak gravity, which implies similar inside and outside curvatures, to approximate the unit normal inside by the negative unit normal outside. The stress-energy distribution on the cylinder's sides includes negative energy density. [Preview Abstract] |
|
P1.00284: Encounter times in overlapping domains and aplication to epidemic spread Paulina Sol\'is, David P. Sanders We present results on encounter times for random walkers modeling territorial animals. The walkers are confined to habitats in one or two dimensions with reflecting boundaries, and neighboring habitats overlap. Using Monte Carlo simulations and numerically-exact calculations, we calculate encounter times as a function of the size of the habitats, and the size of the overlap region. These results are applied to model the spread of epidemics in populations of such animals; the speed of propagation of the epidemic is determined in terms of the role of the spatial organization. [Preview Abstract] |
|
P1.00285: Structural properties of explosive percolations on low-dimensional systems Woosik Choi To understand transition nature, we investigate structural properties of spanning clusters of the various explosive percolation(EP) models in lower dimensions. By studying the fractal dimensions of cutting bonds of the spanning cluster, it is shown that the transition in site models and the bond models which relatively enhances the intra-bond occupation is discontinuous. In contrast, the intra-bond-suppressed models undergo the continuous transition. In discontinuous transition models, fractal dimensions of cutting bonds ($d_{cut}$) is less than or equal to 0. In continuous transition models, we find $d_{cut}>0$. When the transition is continuous, we also confirm that $d_{cut}$ is equal to $1/\nu$ [1] as in ordinary percolation, where $\nu$ is the correlation length exponent. [1] M.B. Isichenko, Rev. Mod. Phys, 64, 961 (1992). [Preview Abstract] |
|
P1.00286: The notion of temperature in non-extensive systems Sergio Davis, Gonzalo Gutierrez Temperature is a well defined concept in equilibrium Statistical Mechanics, however, its extension to non-extensive systems whose distribution of microstates belong to the q-exponential family is a controversial topic (see for example M. Nauenberg, Phys. Rev. E 67, 036114, 2003). In this work we discuss the implications of a recently derived identity (S. Davis and G. Gutierrez, Phys. Rev. E 86, 051136, 2012) for the estimation of the parameters $\beta$ and $q$ of the q-exponential analog of the canonical ensemble, \begin{equation} P(r, p) \propto \Theta(1-(1-q)\beta H)(1-(1-q)\beta H). \end{equation} We show that the expectation of the Rugh estimator \begin{displaymath} R(x, p) = \nabla \cdot \frac{\vec \omega}{\vec \omega \cdot \nabla H}, \end{displaymath} where $\vec \omega=\vec \omega(\vec r, \vec p)$ is an arbitrary differentiable field, plays the role of the inverse temperature of the system regardless of the statistical ensemble. [Preview Abstract] |
|
P1.00287: Cooperative sequential adsorption model with evaporation on Cayley tress William Banks, Eric Schwen, Andrew Seredinski, Brian Simpson, Vincent Kim, Conan Zhao We present analytical results for a cooperative sequential adsorption model with evaporation on Cayley trees of coordination number three and four. This model can be applied to a variety of physical situations, such as ionic self-assembly of nanoparticles, or epidemic and voting problems. We first map our model onto an Ising model and use known results to characterize the steady state of the system. We derive the rate equations for the particle density and solve them numerically in the mean field approximation. We also discuss the role of the particle correlations and their relationship to external factors. [Preview Abstract] |
|
P1.00288: Characterization of strain fields around Through-Silicon Vias by second-harmonic scanning microscopy Farbod Shafiei, Ming Lei, Zhuujie Wu, Paul Ho, Michael Downer 3D integration is currently a forefront technique for achieving high transistor integration density while reducing inter-chip RC delay and power consumption. Among numerous 3D integration designs, metallic ``through-silicon vias'' (TSVs) are a promising strategy for interconnecting stacked devices. However, the large mismatch in coefficients of thermal expansion between metal and silicon compromises thermal-mechanical reliability. Moreover, thermal strain introduced during TSV fabrication can induce defects that degrade carrier mobility within the strain field, voids within the metal interconnect, and cracking of the Si wafer. A strong need therefore exists for fast non-invasive methods of characterizing the strain fields surrounding TSVs. Here we show that scanning SHG microscopy is sensitive to these strain fields. Even though SHG is forbidden to lowest order from unstrained bulk Si, strain gradients break the centrosymmetry of the diamond-structure lattice, creating a second-order dipolar optical nonlinearity. [Preview Abstract] |
|
P1.00289: SOFT CONDENSED MATTER |
|
P1.00290: Characterization and Suppression of Anti-Phase-Boundary Defects in GA-AS-ON-SI Films Using SHG and Aspect-Ratio-Trapping Farbod Shafiei, Ming Lei, Man Hoi Wong, Michael Downer The semiconductor industry is exploring hetero-epitaxial growth of III-V semiconductors on Si substrates as a way to marry the superior optical properties and high carrier mobility of III-V semiconductors to the established low-cost, high-volume Si platform for electro-optic, solar cell, and high-performance electronics applications. The dominant technical challenge is the III-V film's tendency to form ``anti-phase domains'' (APDs) --- $i.e. $areas of 0.1 to 1 micron lateral size in which polar Ga-As bonds are inverted in neighboring domains, resulting in undesirable Ga-Ga and As-As bonds at the anti-phase boundaries (APBs). To evaluate strategies for suppressing them, a strong need exists for fast, non-destructive methods of detecting APBs that distinguish them from other defects ($e.g$. threading dislocations, or TDs). Here we show that optical SHG characterizes APDs sensitively, selectively and non-invasively. Using SHG as an APD monitor, we then show that growing the GaAs film on a Si substrate patterned with SiO$_{2}$ trenches -- a strategy originally designed to trap TDs -- can also dramatically suppress APDs. While molecular mechanisms by which ``aspect-ratio trapping'' (ART) suppresses APDs are not yet clear, the high-throughput SHG diagnostic enables unprecedented freedom in exploring effective trench pattern designs [Preview Abstract] |
|
P1.00291: Multifractal intensity in features of dynamical behaviors Kyungsik Kim, Jae-Won Jung, Baek-Jo Kim We simulate and analyze the dynamical behavior of multifractals in typhoons. A significant and fascinating feature of this behavior is that it provides a proper interpretation for the pattern of typhoon in terms of the numerical values of the generalized dimension and the scaling exponent. For our case, these statistical quantities can be estimated numerically from four meteorological factors (the moving speed, the central pressure, the strong wind radius, and the maximum wind speed) after and before landing typhoon. We perform a computer-simulation from a typhoon data of five years from 2008 to 2012 provided to the Korea Meteorological Administration The result from the multifractal structure allows us to calculate a definite and reliable fractal dimension. In particularly, we find the strengths of multifractal structures from four meteorological factors. [Preview Abstract] |
|
P1.00292: Packing of hard spheres in cylinders and applications Adil Mughal, Denis Weaire, Stefan Hutzler, Ho Kei Chan We study the optimal packing of hard spheres in an infinitely long cylinder. Our simulations have yielded dozens of periodic, mechanically stable, structures as the ratio of the cylinder (D) to sphere (d) diameter is varied. Up to D/d$=$2.715 the densest structures are composed entirely of spheres which are in contact with the cylinder. The density reaches a maximum at discrete values of D/d when a maximum number of contacts are established. These maximal contact packings are of the classic ``phyllotactic'' type, familiar in biology. However, between these points we observe another type of packing, termed line-slip. An analytic understanding of these rigid structures follows by recourse to a yet simpler problem: the packing of disks on a cylinder. We show that maximal contact packings correspond to the perfect wrapping of a honeycomb arrangement of disks around a cylindrical tube. While line-slip packings are inhomogeneous deformations of the honeycomb lattice modified to wrap around the cylinder. Beyond D/d$=$2.715 the structures are more complex, since they incorporate internal spheres. We review some relevant experiments with hard spheres, small bubbles and discuss similar structures found in nature. We discuss the chirality of these packings and potential applications in photonics. [Preview Abstract] |
|
P1.00293: Polarization elasticity in a ferroelectric smectic liquid crystal film Chenhui Zhu, Apichart Pattanaporkratana, Joseph Maclennan, Darren Link, Jinzhong Pang, Noel Clark Topological $+$1 and -1 point defects were induced in freely suspended smectic-C crystal films by quickly bending the film by air pressure so that the film momentarily enters SmA phase and relaxes back to the SmC phase. The symmetry breaking in this process spontaneously generates many pairs of topological point defects in the film. We report that the molecular organization of -1 defects is drastically different in high polarization smectic-C films from that in achiral or racemic smectic-C films. Such -1 defect structure can be tuned by ion concentration in the materials, and the enantiomeric excess in the mixture of left-hand and right hand chiral smectic-C. This novel behavior can be understood by considering both an effective increase in Frank elastic constants due to polarization splay and the electrostatic interaction between spatial charges generated by polarization splay which is geometrically enforced in -1 defect. Results on the annihilation of $+$1 and -1 defect pairs will also be presented. Funding provided by MRSEC Grant No. NSF DMR 0820579. DMR 0820579 [Preview Abstract] |
|
P1.00294: Effect of carbon nanotubes on field-induced nematic switching Rajratan Basu A small quantity of carbon nanotubes (CNT) was doped in a nematic liquid crystal (LC), and the LC$+$CNT hybrid was found to exhibit a faster field-induced nematic switching compared to that of the pure LC. The field-induced switching time was probed by means of the electro-optic response of the samples. The hybrid system also revealed a reduced rotational viscosity and an enhanced dielectric anisotropy. The results suggest that the hybrid system undergoes a faster field-induced switching, as the CNTs favorably alter the rotational viscosity and the dielectric anisotropy of the nematic matrix. [Preview Abstract] |
|
P1.00295: Phase transition of physically confined 2-decanol Harrisonn Griffin, Samuel Amanuel We have studied phase transition of physically confined 2-decanol in nano porous silica using power compensated differential scanning calorimeter (DSC). Like bulk, the physically confined also exhibit hysteresis between its melting and freezing temperature. However, its thermal history plays significant role in determining its freezing temperature. The melting temperature, on the other hand, did not show similar changes with respect to thermal history, suggesting that it is truly driven thermodynamically rather than kinetically. In addition, there seems to be a cutoff in size where crystallization front could not proceed. [Preview Abstract] |
|
P1.00296: Quantum Dots and Nematic Liquid Crystal Mediated Interactions Rajratan Basu, Daniel Finkenstadt, Peter Brereton A small quantity of CdSe quantum dots (QDs) was dispersed in a nematic liquid crystal (LC) media and the QDs were found to exhibit self-assembled asymmetric structures, most likely QD-chains. The LC$+$QD system shows an asymmetric photoluminescence (PL) band in the nematic phase and a symmetric PL band in the isotropic phase, indicating a nematic mediated arrangement of the QDs. A simple model is proposed to explain the asymmetric behavior of the PL band as an effective chain of coupled or coalesced quantum dots. The effect of the liquid crystals is to provide an entropic force that attracts dots to minimize the excluded volume. The dielectric reorientation dynamics, immediately following the removal of an applied field, appears as a one-step exponential decay for the LC and a two-step exponential decay with a slower process for the LC$+$QD system. The results suggest that anisotropic chain-like QD-assemblies are formed in the nematic platform. [Preview Abstract] |
|
P1.00297: Dynamics of Polydisperse Coarsening Emulsion Nic Mirenda, Harry Hicock, Klebert Feitosa, John Crocker Soft glassy materials display complex fluid behavior characterized by a yield stress and distinctive elastic and viscous moduli. The complexity emerges from the disordered structure and interactions between the athermal particles. Here we study the dynamics of an optically clear and neutrally buoyantly emulsion whose droplets coarsen driven by Laplace pressure induced diffusion. The emulsion displays an anomalous loss modulus typical of coarsening foam systems. We use confocal microscopy to image the droplets, measure their size and centroid location, and track their evolution in time. The relaxation process of the coarsening emulsion is found to be marked by a continuous, slow structural evolution interspersed by sudden droplet swaps. We characterize the time scales of each process and the statistics of droplet rearrangements. [Preview Abstract] |
|
P1.00298: Comparison of the droplets size and rheology of two emulsions of heavy and light oils mixed with aqueous solution Jos\'e Guadarrama-Cetina, Enrique Soto, Roc\'Io G. de la Torre, Patsy V. Ram\'Irez-Gonz\'alez, Sergio H. Qui\~nones-Cisneros Two kinds of emulsions have been studied: the first with a heavy oil and the second with a light oil. The emulsions were made by mixing at several controlled conditions and ratios of aqueous solution. For all cases the oil was the continuous phase. The morphology of the dispersed aqueous phase was studied showing a clear trend for increase viscosity with decrease in droplet size. After a period of time, the viscosity of the emulsions changes due to drops coalescence. For the heavy oil emusions, the viscosity increased when the bigger drops coalesced and segregate, so the average drops size decreases too. In case the light oil emulsions, however, the opposite behavior was observed. [Preview Abstract] |
|
P1.00299: The short- and long-time diffusivities of many spheres in the presence of depletants Mehdi Karzar-Jeddi, Remco Tuinier, Takashi Taniguchi, Tai-Hsi Fan In a colloid-polymer mixture, polymer depletion plays a key role in phase behaviors and transport properties of colloids. We investigate the stochastic motion of many colloidal spheres in dilute polymer solutions and resolve the short-time and long-time self-diffusivities of the spheres under the depletion effect. The pair additive mobility tensor is computed by the boundary integral method, which accounts for the solvent or depletion layer, and a uniform bulk polymer solution elsewhere. The stochastic Brownian displacement is coupled to the mobility analysis through the fluctuation-dissipation theorem. By tracking the particle trajectories, we found a significant increase of the short-time self-diffusivity due to caged depletion effects. Additional to the slip-like behavior that enhances the diffusivity, the long-time behavior is further complicated by the entropic force that tends to coagulate the spheres. [Preview Abstract] |
|
P1.00300: Dielectric and Birefringence Properties of 4'-$n$-Pentyl-4-cyanobiphenyl (5CB) and 4-cyano-4$\prime $-Octyloxybiphenyl (8OCB) Liquid Crystals Mixtures Angelo Visco, Jon Foust, Rizwan Mahmood We have investigated optoelectric properties of two very different liquid crystals and their mixtures as a function of temperature. The compounds used were, 4'-$n$-Pentyl-4-cyanobiphenyl (5CB) - a room temperature nematic, and 4-cyano-4$\prime $-octyloxybiphenyl (8OCB) - a room temperature crystalline solid that exhibits smectic A, nematic and isotropic phases on heating. 8OCB is different from 5CB due to the formation of bilayers structure in smectic A phase. Our data indicate weakening of the coupling of nematic and smectic A order parameters upon increasing the concentration of 5CB. The dielectric data on mixtures have shown enhanced positive dielectric anisotropy. We will also report simultaneous studies of birefringence measurements on this system. These measurements are important for the fabrication of electro-optic applications. [Preview Abstract] |
|
P1.00301: Atomic force microscopy observation of surface reconstruction in crystalline-B films Daniel Martinez Zambrano, Jeffrey Collett Atomic force microscopy observation of surface reconstruction in crystalline-B films Surfaces of freely suspended thick films of 4-n-heptyloxybenzylidene-4-n-heptylaniline (7O.7) have been imaged using non-contact mode atomic force microscopy. We find direct evidence that the surface layers differ from the crystalline-B interior. The surface is flat in contrast to the modulated layer structure seen in bulk 7O.7. We measure an average roughness of 0.03 ${\pm}$ 0.02 nm compared to the 0.15 nm value expected for modulated layers. Steps are observed on the surface of the film with a height of 3.1 ${\pm}$ 0.2 nm and a width of 1.0 ${\pm}$ 0.6 ${\mu}$m. The height matches the extended length of the 7O.7 molecule, but the large width suggests that the additional molecular layers come from edge dislocations in the interior of the film. Although there is no direct evidence for molecular tilt at the surface from the AFM measurements, the results are consistent with a Smectic-F surface over a modulated crystalline-B interior. [Preview Abstract] |
|
P1.00302: Effect of MWCNTs on the electro-optic properties of 5CB LC cells during the Freedericksz Transition Matthew Eakle, Georgi Georgiev, Timothy Atherton, Peggy Cebe Multi-walled carbon nanotubes (MWCNTs) affect the electro-optic properties of liquid crystals, but the mechanisms of interaction between the two remain not well understood. To address this, we are investigating the effect of alternating electric fields on the optical properties and Freedericksz Transition of 5CB liquid crystal with different concentrations of MWCNTs. 5CB cells with ITO electrodes were made using spin-coated PVA for sample alignment and MylarTM spacer films to control the thickness, which ranged from 12 to 23 microns. Transmission optical ellipsometry was used to measure the optical retardance (phase delay) and uniaxial director angle of 5CB liquid crystals as the peak voltage of a high-frequency (1 kHz - 1 MHz) AC electric field was varied from 0 to 10 V. For constant frequency, the Freedericksz Transition was noted by a sharp decrease in retardance from an initial plateau, which gradually decreased toward zero as the voltage increased. With increasing frequency, the decay broadened and the Freedericksz Transition occurred at higher voltage. Numerical simulations of CNT-facilitated switching are also presented. [Preview Abstract] |
|
P1.00303: Boundary Stability of a Nematic Liquid Bridge William Barnes, Chris Santangelo We consider a nematic liquid crystal droplet in air confined between two parallel plates with homeotropic boundary conditions. The boundary conditions at the nematic-plate and nematic-air interfaces induce either a hedgehog or planar ring disclination within the nematic bridge, depending on the plate separation and bridge radius. We study the stability of the liquid crystal-air boundary of a nearly cylindrical nematic bridge by minimizing the Frank elastic energy. [Preview Abstract] |
|
P1.00304: Chiral liquid crystalline nanocomposites Michael Kohlios, John Murray, Anthony Tantillo, Kathryn Reddy, Petr Shibaev Chiral liquid crystalline nanocomposites based on mixtures of low molar mass chiral liquid crystals, polymers and ferromagnetic nanoparticles were designed and their optical properties were studied in different configurations of external magnetic fields, at different concentrations of nanoparticles and for a variety of viscosities of liquid crystalline matrix. It was found that chiral liquid crystals can serve as color changing sensors of submicron deformations. Liquid crystalline films with nanoparticles display changes in selective reflection that can be altered by applying external magnetic field. Sensitivity of composites to external magnetic field and deformation is analyzed in the framework of a simple model for different viscosities of the material. It is shown that shear deformations of thin films with amplitude as low as 10nm can be detected. The applications of this method of measuring submicron and nano-scale deformations are discussed. [Preview Abstract] |
|
P1.00305: Detection of harmful vapors and biological agents by means of liquid crystals Jon Gustafson, Petr Shibaev Orientation of liquid crystals is determined by surface energy and molecular orientation at the surface. This opens a possibility of exploring liquid crystals as gas sensors. In this work the simple model that takes into account gas concentration, absorption rate and material characteristics of liquid crystals ( MBBA and pentylcyanobiphenyl ) is experimentally tested in order to determine the sensitivity of the method. Thin films and droplets of chiral and non-chiral liquid crystals were used to detect vapors of volatile organic solvents. It was found that sensitivity of the method depends on the chemical nature of gas and structure of liquid crystal. Modification of liquid crystalline composition by means of additions of specifically tailored compounds (for example, hydrogen bonded acids) can lead to significant increase in sensitivity of liquid crystal to harmful vapors. The method was also tested for detection of biological molecules. [Preview Abstract] |
|
P1.00306: Slow Phase Separation in Mixed Columnar Phases of NanoDNA and Chromonic Dye Gregory Smith, Youngwoo Yi, David Walba, Noel Clark The architecture of a DNA duplex with its externally exposed charged phosphate backbone and internally hidden aromatic bases, hydrophobically stacked at a spacing of 3.4 {\AA}, is strikingly similar to aggregates of Liquid Crystal (LC) forming chromonic dyes such as Sunset Yellow (SSY). One might naturally question whether a DNA-like molecular column can be assembled from a subunit resembling a chromonic dye. Because little is known about direct mixtures of chromonics with DNA and how such a mixture might form mixed LC phases, we mixed blunt-end 12mer NanoDNA (GCGCTTAAGCGC) with SSY, both of these molecular species independently having well-described LC behavior. Observed mixtures are remarkably miscible, exhibiting a mixed chiral nematic phase with Grandjean texture that is distinct from SSY and DNA nematic forms. On transition to the columnar phase when the molecular species fractions are very close in proportion (about 1:1.3 DNA:SSY by weight) the columnar phase exhibits a slow but thermally reversible demixing to produce a striated texture whose assembly is guided by the columnar director structure. [Preview Abstract] |
|
P1.00307: Toroidal Nematic droplets with radial anchoring Eric Dancu, Karthik Nayani, Jayalakshmi Vallamkondu, Jung Ok Park, Mohan Srinivasarao, Alberto Fernandez-Nieves We generate toroidal droplets of nematic liquid crystals with radial anchoring condition at the boundary of the torus and the stabilizing yield stress medium. We discuss the two observed equilibrium states: the first being a non-singular twisted escape and the other case of singular $+$1/2 rings. [Preview Abstract] |
|
P1.00308: Surface Nano pattering for aligning Chromonic liquid crsytals Jeong Yeon Kim, Karthik Nayani, Hyeon Su Jeong, Hwan-Jin Jeon, Hae-Wook Yoo, Eun Hyung Lee, Jung Ok Park, Mohan Srinivasarao, Hee-Tae Jung We present results on homogenous planar alignment of several Chromonic Liquid Crystals. High aspect ratio patterns on ITO substrates are used to align the Chromonics. A modification of the Berreman's theory was employed to study the alignment of the Liquid Crystals as a function of the anchoring energy. The theory is used to determine the limit for the amplitude of the patterns beyond which the anchoring energy levels off. The crucial aspect of the work involves the testing of the veracity of the alignment with varying amplitude which in turn gives us a cut off anchoring strength to align Chromonics when compared back to the theory. We note that this value of the cutoff anchoring energy to align Chromonics is higher than that needed for nematics and it should serve as a reference for other techniques which seek to align Chromonics. [Preview Abstract] |
|
P1.00309: Freeze-fracture TEM Observation of Magnetic Platelets in Colloidal Suspensions in Liquid Crystals Min Shuai, Arthur Klittnick, Renfan Shao, Joseph Maclennan, Matthew Glaser, Noel Clark, Rolfe Petschek Ferromagnetic liquid crystals have recently been realized in a colloidal system of magnetic nanoplatelets in nematic liquid crystals [A Mertelj, \textit{et al}, 12$^{\mathrm{th}}$ European Conference on Liquid Crystals, Greece, 2013]. Here, using freeze-fracture transmission electron microscopy (FFTEM), direct observation of the organization of the nanoplatelets in the liquid crystal phases is achieved. Macroscopic nematic ordering of the nanoplatelets is found in their colloidal suspensions in nematic liquid crystals at particle concentration as low as 0.2{\%} by volume without the present of external magnetic field. The arrangement of the platelets in the isotropic liquid crystals with and without external magnetic field is also studied. Modeling on the interactions of magnetic nanoplatelets is provided. [Preview Abstract] |
|
P1.00310: Active membrane fluctuations with proton pumps Adam Szmelter, Kejia Chen, Steve Granick We investigate nonequilibrium membrane fluctuations in giant unilamellar vesicles (GUVs) by reconstituting the light-activated proton pump, bacteriorhodopsin (BR). Once activated, BR is known to form oligomers in model membranes, with an unknown effect on fluctuation amplitude. By using the UV-crosslinkable lipid, 1,2-bis(10,12-tricosadiynoyl)-sn-glycero-3-phosphocholine, we localize BR and prevent light-induced oligomerization. This system allows us to selectively switch on and off active fluctuations and to turn off diffusion (oligomerization) using green~and UV light, respectively. We compare active membrane fluctuations with trapped and freely diffusing BR using an interferometric technique with~sub nanometer and microsecond resolution. Remarkable dependence is found on whether BR is localized or freely diffusing. [Preview Abstract] |
|
P1.00311: Small Angle Neutron Scattering for the Detection of Branching in Worm-Like Micellar Systems Karsten Vogtt, Durgesh Rai, Gregory Beaucage Micellar solutions can exhibit a broad variety of phase structure as a function of counter ion content, surfactant concentration, and the presence of ternary components. Under some conditions extended cylindrical structures that display persistence and other chain features of polymers are produced. These worm-like micelles (WLMs) can form branched structures that dynamically change under shear and even in quiescent conditions. The rheology of these branched WLMs is strongly dependent on migration of the branch points, and the dynamics of branch formation and removal. We have recently developed a scattering model for branched polyolefins and other topologically complex materials that can quantify the branching density, branch length, branch functionality and the hyperbranch (branch-on-branch) content of polymers. Using small angle neutron scattering these parameters are determined for model emulsions with varying surfactant and salt concentrations. [Preview Abstract] |
|
P1.00312: Polymersomes with Salt-Induced Leaflet Rearrangement Yoon Kyeung Lee, Changqian Yu, Steve Granick Polymersomes, composed of two leaflets of amphiphilic diblock copolymers, become unstable when presented with external stimuli such as osmotic perturbation and invasive ions. Here, using a homebuilt hydrogel-based microfluidic device, we quantify their shape transformations in response to fine-tuning of the local ionic environment. We demonstrate a model system involving PBD-PEO (polybutadiene-co-polyethylene oxide). Inward budding of these polymersomes reflects difference of surface area between inner and outer leaflets rather than the conventional osmotic imbalance. [Preview Abstract] |
|
P1.00313: Coalescence Kinetics of Lipid Based Bicelles Andrew Hu, Tai-Hsi Fan, John Katsaras, Yan Xia, Ming Li, Mu-Ping Nieh Uniform nanodisc can be self-assembled from lipid mixtures of dimyristoyl phosphatidylcholine (DMPC), dimyristoyl phosphatidylglycerol (DMPG), and dihexanoyl phosphatidylcholine (DHPC). This study focuses on the theoretical and experimental growth kinetics of phospholipid based nanodiscs. Motivation for this project comes from the nanodisc's small size and their potential use as a carrier for drug delivery. It was observed that at high total lipid concentration the nanodiscs are stable at approximately 10 nm. However, growth of these nanodiscs is observed at relatively low total lipid concentrations. Dynamic light scattering (DLS) is used to monitor the size and growth rate of these nanodiscs at different solution conditions. The growth at low concentrations is caused by to the transfer of charged lipid (DMPG) from the discs to the solution, reducing the Columbic interaction. The growth of nanodisc as a function of size and surface potential is modeled using the Smoluchowski transport equation with transport-limited boundary conditions. [Preview Abstract] |
|
P1.00314: Measurement of two-state energy landscapes on amorphous hafnium diboride surface by direct observation of dynamics Duc Nguyen, Justin Mallek, Andrew Cloud, John Abelson, Gregory Girolami, Joseph Lyding, Martin Gruebele Direct visualization of free energy landscape for individual Cooperatively Rearranging Regions (CRRs) is important in glassy dynamics, both for the bulk and the surface. We used scanning tunneling microscopy to track individual CRRs on amorphous hafnium diboride surface, temporally from microseconds to hours with sub-nanometer spatial resolution. CRRs have a diameter of $\sim$5 atoms and mostly relax in a two-state fashion. From single cluster tunneling current traces, we can reconstruct local free energy landscapes, complete with energy difference, barrier height, a numerically defined reaction corrdinate and shape of the free energy minima. [Preview Abstract] |
|
P1.00315: Lipid Bilayer Membrane Elasticity and Hydrodynamics : Insights from Dynamic Implicit-Solvent Coarse-Grained Models Paul Atzberger Lipid bilayer membranes have been widely studied using fully atomistic molecular dynamics and continuum mechanics descriptions. To bridge this gap many mesoscale implicit-solvent models have been developed to capture at equilibrium essential features of lipid bilayer membrane formation and internal structure, while at the same time providing enough simplification in simulations to allow access to large spatial scales. To study dynamic processes in such implicit solvent models requires further advances to account for important kinetic effects mediated by the solvent. We discuss our recent progress on developing thermostats for dynamic processes based on continuum fluctuating hydrodynamics for implicit-solvent coarse-grained models of lipid bilayer membranes. We present results for vesicle bilayers making comparisons with explicit solvent simulations and continuum theory. [Preview Abstract] |
|
P1.00316: Observation of the slow, Debye-like relaxation in hydrogen-bonded liquids by dynamic light scattering Yangyang Wang, Philip Griffin, Adam Holt, Fei Fan, Alexei Sokolov Water, monohydroxy alcohols, and several other hydrogen-bonded liquids, display a pronounced Debye-like low-frequency dielectric relaxation. Despite extensive studies of more than half a century, the molecular origin of this process still remains an open question. Curiously, the slow, Debye-like relaxation has largely remained a dielectric phenomenon and has thus far eluded observation by other experimental techniques. This has led many to believe that this process is a purely dielectric phenomenon. Here we present the first evidence of the slow, Debye-like relaxation from the depolarized light scattering experiments on a model hydrogen-bonded liquid, 2-ethyl-4-methylimidazole. We show that the relaxation times obtained for this process by dielectric spectroscopy and light scattering spectroscopy are in good agreement with each other and can be explained by the Debye model of rotational diffusion. This finding resolves a long-standing mystery about the slow Debye-like relaxation in hydrogen-bonded liquids and offers new insights into its nature. [Preview Abstract] |
|
P1.00317: Phase transitions in the van Hemmen model with random crystalline anisotropy field Alberto S. de Arruda, Denes M. de Morais, Jonathas N. da Silva, Jos\'e R. de Sousa In this study we present generalized phase diagrams of van Hemmen model with $S=1$ and $S=3/2$ spins plus an anisotropic random crystalline field term. All calculations performed to evaluate the complete phase diagram were performed using a simple cubic lattice, employing the mean field theory in the Curie-Weiss form. This approach, instead of using the standard truncation of the partition function it simply rewrites the Hamiltonian in such a way that allows an exact calculation of the free energy. In spite of being semi-realistic, mean field solutions can give a first qualitative understanding of the thermodynamical behavior of the system. In our work, the effects of randomness of the exchange interaction and the anisotropy of the crystal field were taken into account by using bimodal distributions. In the particular case of $p = 1$ ($D = 0$) we reproduce the original results obtained by van Hemmen, and in the $p = 0$ ($D\ne 0$) case. Our numerical analysis provides second order phase transition lines, including ferromagnetic-to-paramagnetic phase transition in the temperature versus exchange interaction. In summary, our results display tricritical behaviour with second order lines separated by first order lines by tricritical points. [Preview Abstract] |
|
P1.00318: Variation of the electronic densities of states as a function of impurity concentration in amorphous bismuth alloys Zaahel Mata-Pinzon, Ariel Alberto Valladares, Alexander Valladares, Renela Maria Valladares The properties of materials are strongly related to their atomic topology, especially when we compare properties related to the ordered and disordered phases. Using Density Functional Theory methods on 64-atom supercells we obtain the structure and calculate the electronic density of states (eDOS) as a function of the concentration of lead, thallium or antimony in an amorphous bismuth supercell. This is done to investigate how the eDOS affects the superconducting transition temperature (T$_{c}$), taking into account the measurements made by Shier and Ginsberg\footnote{J. S. Shier and D. M. Ginsberg, Phys. Rev., vol. 384, p. 147, 1966} on contaminated amorphous bismuth thin films. [Preview Abstract] |
|
P1.00319: Atomistic Modeling of Mechanical Loss in Pure and Doped Amorphous Oxides Jonathan Trinastic, Rashid Hamdan, Hai-Ping Cheng The mechanical dissipation in the oxide coatings of many precision measurement systems is a major source of thermal noise that limits the performance of such devices. A good candidate for a coating material to reduce the mechanical loss is tantala (Ta$_2$O$_5$) doped with titania (TiO$_2$). Here, we numerically calculate the mechanical loss (internal friction) in these and other promising oxides based on the double well model. Using classical, atomistic molecular dynamics simulations, we estimate the density of double wells in the energy landscape of the amorphous oxides and the distribution of barrier heights, in addition to the deformation potentials, the elastic constants and vibrational frequencies at both the bottom of the potential wells and at the saddle points, all of which are relevant to the internal friction calculation. We use two versions of the bisection method to find the double well densities and distributions. All methods used in these calculations are implemented in DL-POLY molecular dynamics simulation software. These calculations will provide experimentalists with a better guide into which material combinations might be better choice for reducing the mechanical loss. [Preview Abstract] |
|
P1.00320: Dynamics accross the liquid-liquid transition in Si and Ga: The fragile-to-strong transition Samuel Cajahuaringa, Maurice de Koning, Alex Antonelli The existence of liquid-liquid phase transitions (LLPT) has been proposed to explain the anomalies in thermodynamic properties of substances such as, water, Si, Ga, etc. Recently, there has been an increasing interest in the liquid dynamics near LLPTs. It has been also suggested the occurrence of a fragile-to-strong transition (FTST) in the dynamics of water and Si accompanying the LLPT. Using computer simulations we study the dynamics of two atomic liquids that display a LLPT: Si and Ga. In particular, our goal is to investigate to what extent the presence of a dip in the self-intermediate scattering function (SISF) is caused by an excess of vibrational states at low frequencies, which may be associated with a FTST across the LLPT, as suggested recently. Our results do not provide support to these suggestions. In the specific case of Ga, we observe the appearance of an excess of vibrational states at low frequencies, even though the development of a dip in the SISF across the LLPT is not observed. Moreover, our study of the shear viscosities traversing the LLPTs indicates that, despite the appearance of a dip in the SISF for the case of Si and its absence in Ga, both substances exhibit a fragile character above and below the LLPT temperatures. [Preview Abstract] |
|
P1.00321: Molecular dynamics analysis of relaxation state control of metallic glasses via thermal and mechanical loadings Narumasa Miyazaki Metallic glasses have excellent properties such as high fracture toughness and large elastic strain limit, high corrosion resistance, however they generally exhibit brittle fracture mode at ambient temperature. Since mechanical properties of metallic glasses depend on the degree of relaxation state, it can be tuned by controlling the degree of relaxation state. In this computational study, we focus on a method to control the relaxation state of metallic glasses via thermal and mechanical loadings. Using molecular dynamics, a metallic glass model was applied thermal loading composed of heating, annealing and quenching with external stress. Here, different annealing temperatures ranging from 0.5Tg to 1.5Tg [K] (Tg: the glass transition temperature), and external stresses ranging from 0 to 10 [GPa] were applied. We found that thermal loading below Tg leads the metallic glasses more relaxed state. On the other hand, the external stress brings metallic glasses less relaxed state, because external stress changes the shape of potential energy surface. These finding allow us to control the relaxation state of metallic glasses. [Preview Abstract] |
|
P1.00322: Promoting Glass-forming Ability in Triazine-based Molecular Glasses Audrey Laventure, Armand Soldera, Olivier Lebel, Christian Pellerin Creating glasses from small molecules, known as molecular glasses, can be quite challenging. Special processes, such as deep quenching, are often necessary to generate an amorphous phase, which tends to revert to its crystalline state over time. An easier preparation of molecular glasses thus requires the development of design strategies that frustrate crystallization. Recent work by Lebel and coworkers has shown that aminotriazine-based molecules can present an outstanding glass-forming ability due to their poor packing in self-assembled aggregates held together by multiple hydrogen bonds [Plante et al. J. Phys. Chem. B, 2009, 14884]. Herein, we present a systematic study of these triazine derivatives. Compounds were first classified into different levels according to their critical cooling rate (Rc) determined by differential scanning calorimetry. The influence of the substituent structure and position on the glass-forming ability (GFA), glass stability (GS) and glass transition temperature (Tg) was then studied. This work helped us identify general trends about the structural features that enable small molecules to form long-lived glasses. [Preview Abstract] |
|
P1.00323: Correlating adsorption properties and tribological properties of protein in model synovial fluids Janet Wong, Maria Parkes, Philippa Cann, Connor Myant Human synovial fluid acts as a lubricant in our joints. It consists of many constituents and proteins are believed to play a crucial role in minimizing friction by maintaining both the viscosity of the fluid and a boundary film. While the importance of protein surface adsorption in the formation of boundary protective film may seem intuitive, a relationship between protein adsorption and its resulting tribological properties remains unclear. In this work, the surface adsorption of Albumin and Globulin, the most abundant proteins in synovial fluid, is investigated under static condition using quartz crystal microbalance in various buffer solutions. The tribological properties of the protein solutions are then studied by shearing protein solutions in model point contacts and monitoring the thickness of protein boundary protective layers with time. The effects of pH and ionic strength are examined. While the results suggest that both the static protein adsorption process and the boundary film formation in a tribological contact are strongly affected by pH of the buffers, the link between the two processes is much weaker than expected. Other processes, such as the formation of metal protein complex, can be more crucial in protein boundary film formation. [Preview Abstract] |
|
P1.00324: Analyze of elasto-hydro-dynamic friction of a cylindrical bush with elliptical cross-section Sergey Verlinski, Lavrenti Shekyan The framework of elasto-hydro-dynamic lubrication theory will be discussed. The theoretical plane contact problem of a liquid friction rotating about a cylindrical axis with a fixed non-deformable elastic cylindrical bush will presented. An elliptical ring cross-sectional shape will considered for the bush. The problem will be solved with a closed system of nonlinear integral equations. [Preview Abstract] |
|
P1.00325: Scale effects in single-asperity friction Mark O. Robbins, Tristan Sharp, Vincent Ligneres, Lars Pastewka Simulations are used to examine the static friction in model single-asperity contacts between a sphere and a flat elastic substrate. The two surfaces have the same crystalline structure. The radius $R$ of the sphere and $a$ of the contact are varied from nanometers to micrometers. For small contacts the atoms move coherently and the coefficient of friction $\mu$ is independent of load. As contact size increases, $\mu$ begins to drop. Results from a wide range of systems can be collapsed when $\mu$ is plotted against $a^2/R a_0$ where $a_0$ nearest-neighbor spacing. The results are compared to Cattaneo-Mindlin continuum theory and dislocation-based models of contact-size effects from Hurtado and Kim and Gao. [Preview Abstract] |
|
P1.00326: Influence of Carbon Curvature on Nano-Structured Graphenes: 3D Force Field Spectroscopy Study Makoto Ashino, Naruo Sasaki, Roland Wiesendanger The electronic and mechanical properties of two-dimensional graphene membranes are substantially modified by bending in the nanometer-scale range. The response of chemical bonds to bending deformation leads to deviation from the plate idealization [1]. Side wall of the carbon nanotube, the rolled-up form of graphene in nanometer-scale diameter, is regarded as one of the well-defined analogues of curved graphenes. In our study, three-dimensional force field spectroscopy, based on frequency-modulation atomic force microscopy (FM-AFM), has been carried out on single-walled carbon nanotubes (SWNTs) with different diameters to investigate how surface potential and mechanical properties of graphene sheets would alter with their local curvature. The diameters as well as chiral indices of the individual SWNTs have been specifically determined by Raman spectroscopy and FM-AFM imaging. We have found that the binding energy and spring constant at the individual carbon sites would increase with the curvature, showing good agreement with theoretical analyses on the curvature-induced shift in \textit{sp}$^{2}$ hybridization (i.e. $\sigma $-$\pi $ rehybridization) [1,2]. In our talk, we will discuss on details of our experimental results. \\[4pt] [1] D.-B. Zhang \textit{et al}., Phys. Rev. Lett. \textbf{106}, 255503 (2011).\\[0pt] [2] M. K. Kostov \textit{et al}., Phys. Rev. Lett. \textbf{89}, 146105 (2002). [Preview Abstract] |
|
P1.00327: Modeling folding of a bi-layered polymer network Svetoslav Nikolov, Peter Yeh, Alexander Alexeev We use dissipative particle dynamics to develop a mesoscale computational model of a bi-layered polymer gel network that is responsive to specific external stimuli. The gel is modeled as an interconnected network of elastic filaments immersed in a viscous solvent. It is composed of two thin bonded layers, one of which swells in the presence of an external stimulus, while the other is passive. Thus, an application of a stimulus causes the gel sheet to bend and evolve into a three-dimensional shape. We employ this model to investigate shape changes and folding of geometrically and chemically patterned bi-layered gel networks in response to external stimuli. Specifically, we probe how complex, three-dimensional shapes can be produced from initially flat sheets of a responsive bi-layered gel. [Preview Abstract] |
|
P1.00328: A multi-grain approach to protein (H3.1) structure in effective solvent with knowledge-based residue-residue interactions Ras Pandey, Barry Farmer Using a coarse-grained Monte Carlo simulation we examine the structure and dynamics of a histone (H3.1) in effective solvent at a range of temperature [1]. Knowledge-based residue-residue and hydropathy index based residue-solvent interactions are used as input to a generalized LJ potential. Large scale simulations are performed to analyze the structure of protein for a range of residue-solvent interaction strength (f) and temperature. We find that the radius of gyration ($R_{g}$) of the protein responds non-monotonically to solvent interaction strength with a maximum at a characteristic interaction. Broadening of peak occurs on raising the temperature. Fine-grain representation of protein enhances the structural resolution while retaining the fundamental characteristics of the structural response. \\[4pt] [1] R.B. Pandey and B.L. Farmer, PLoS One 8, e76069 (2013). [Preview Abstract] |
|
P1.00329: Floating-zone growth of CdMnTe crystals and their characterization as room-temperature semiconductor gamma-ray detectors T.S. Liu, F.Y. Wang, A.E. Bolotnikov, G.S. Camarda, Y. Cui, A. Hossain, U. Roy, K. Lee, G. Yang, R. James, G.D. Gu CdMnTe (CMT) is a promising candidate for room-temperature semiconductor gamma-ray detectors. In the past, several groups have grown detector-grade CMT material by using a low-pressure Bridgman method. The performance of the test devices fabricated from CMT crystals was found to be slightly lower to that achieved with CdZnTe detectors. For example, the best electron mu-tau product reported for CMT was about 5 times lower compared to that achieved for the best commercial CZT material. Also, the crystal quality of the CMT crystals was reduced due to strong twinning, presence of subgrain boundaries and, in some cases, Te inclusions. Here, we report on our attempt to grow CMT crystals by using a modified floating-zone growth technique, which has not been used previously to grow CMT crystals. There are several advantages of this technique over the traditional Bridgman methods: a higher purity of as-grown crystals, better doping control, more stable growth conditions with uniform compositional distribution, and a better control of the seeding and morphology of the growing crystal. We present the new growth technique and results from CMT crystal characterization and device testing. [Preview Abstract] |
|
P1.00330: POSTDEADLINE |
|
P1.00331: Quantum thermodynamics at the breakdown of integrability Pjors Grisins, Shainen Davidson, Anatoli Polkovnikov We present a numerical study (exact diagonalization) of thermalization of a one-dimensional Bose-Hubbard model after a quantum quench to a highly non-equilibrium state. In contrast to the existing studies, which mostly concentrate on integrable limiting cases of either non-interacting or hard-core bosons, we study the system in the crossover regime of integrability breaking. We show that non-integrable phase is characterized with increased entanglement entropy in the eigenbasis, meaning that in this regime the system retains less memory about its initial state. Additionally we identify the region of small integrability breaking where the momentum modes are close to being in Gaussian state, supporting the claim that close-to-integrable systems relax to the generalized Gibbs ensemble, which in turn allows kinetic theory applications. In the end we verify the eigenstate thermalization hypothesis and argue about the possibility of semiclassical description of quantum quenches. [Preview Abstract] |
|
P1.00332: Carbon Nanotube Passive Intermodulation Device for Nonlinear Energy Harvesting Mitchell Lerner, Israel Perez, John Rockway The navy is interested in designing RF front-ends for receivers to handle high power jammers and other strong interferers. Instead of blocking that energy or dissipating it as heat in filters or amplifiers, this project investigates re-directing that energy for harvesting and storage. The approach is based on channelizing a high power jamming signal into a passive intermodulation device to create intermodulation products in sub-band frequencies, which could then be harvested for energy. The intermodulation device is fabricated using carbon nanotube transistors and such devices can be modified by creating chemical defects in the sidewalls of the nanotubes and locally gating the devices with a slowly varying electric field. These effects controllably enhance the hysteretic non-linearity in the transistors IV behavior. Combining these components with a RF energy harvester on the back-end should optimize the re-use of inbound jamming energy while maximizing the utility of standard back end radio components. [Preview Abstract] |
|
P1.00333: Crystal growth and characterization of $^{6}$LiInSe$_{2}$ neutron detector Yunlong Cui, Pijush Bhattacharya, Michael Groza, Eugene Tupitysn, Emmanuel Rowe, Vladimir Buliga, Liviu Matei, Brenden Wiggins, Daniel Johnstone, Ashley Stowe, Arnold Burger $^{6}$LiInSe$_{2}$ is a promising thermal neutron semiconductor detector material. The performance of the detector is affected by the carrier mobility-lifetime product. Therefore, defects that function as carrier recombination center need to be identified. In the presentation, the crystals were grown from melt by the vertical Bridgman method, and characterized by photo-induced current transient spectroscopy (PICTS), low-temperature photoluminescence (LTPL) and detector performance measurement. PICTS measurements revealed electron-related defects located at 0.22, 0.36 and 0.55 eV and hole-related defects at 0.19, 0.30, and 0.73 eV. The defect values are consistent with those measured from LTPL donor-acceptor pair emissions. The carrier mobility-lifetime products were extracted from DC photocurrent and alpha-particle response measurements. [Preview Abstract] |
|
P1.00334: Estimation of Mean Square Flux Noise in SQUIDs from Monte Carlo Simulations of the Classical 2D XY Model Thomas Hinkle, Clare Yu Magnetic spins located on the surface of superconducting quantum interference devices (SQUIDs) are known to cause flux noise in the devices. Experimental evidence indicates that the spins interact with one another. One measure of the interaction between spins is the mean square flux noise in the SQUID. We compare experimentally obtained values of the mean square flux noise with those from Monte Carlo simulations of the classical 2D XY model. [Preview Abstract] |
|
P1.00335: Criticality in neural ensembles: a mean field approach to expand network size from measured data Vaibhav Wasnik, Barak Caracheo, Jeremy Seamans, Eldon Emberly At the point of a second order phase transition also termed as a critical point, systems display long range order and their macroscopic behaviours are independent of the microscopic details making up the system. This makes the idea of criticality interesting for studying biological systems which even though are different microscopically still have similar macroscopic behaviours. Recent high-throughput methods in neuroscience are making it possible to explore whether criticality exists in neural networks. Despite being high-throughput, many data sets are still only a minute sample of the neural system and methods towards expanding these data sets have to be considered in order to study the existence of criticality. Using measurements of firing neurons from the pre-frontal cortex (PFC) of rats, we map the data to a system of Ising spins and calculate the specific heat as a function of the measured network size, looking for the existence of critical points. In order to go to the thermodynamic limit, we propose a mean field approach for expanding such data. Our preliminary results show that such an approach can capture the statistical properties of much larger neuronal populations even when only a smaller subset is measured. [Preview Abstract] |
|
P1.00336: Effect of low temperatures on tensile properties of ultrathin copper films Qiao-Neng Guo, Ernie Pan, Shi-E Yang, Mingxing Wang, Qiang Sun, Jie-Fang Wang, Yu Jia The recent developments in MEMS have created a requirement for comprehending the mechanical properties of copper thin films. Moreover, the serviceability temperature of the films used for space research, superconductivity and so on is mainly low temperature. Thus, the molecular dynamics is employed to simulate the mechanical responses of single-crystal copper thin films under uniaxial tensile loading in different low temperature environments. With varying applied temperatures to the thin films, the variation of the maximum stress, Young's modulus and maximal potential energy is characterized and three different deformation mechanisms in the low temperature range from 40 to 250 K are identified. These different mechanisms of copper films in different temperature ranges are then explained via the continuum damage mechanics based on the evolutionary features of the slip and twin in the thin film. It is concluded that at temperatures above 200 K normal slip process occurs; whereas at temperatures below 70 K, twin nucleation process appears. However, when the temperature is between 70 K and 200 K, both twin and slip processes happen. [Preview Abstract] |
|
P1.00337: Pseudogap: superconducting fluctuations from quantum to thermal in high Tc copper oxide superconductor H. Xiao, T. Hu, W. Zhang, Y.M. Dai, H.Q. Luo, D. Jiang, W. Peng, C.C. Almasan, H.H. Wen, X.M. Xie, M.H. Jiang, X.G. Qiu We performed angular dependent torque measurement results on a series of Bi$_2$Sr$_{2-x}$La$_x$CuO$_{6+\delta}$ (La dooped Bi2201) single crystals. Our measurement results show that the diamagnetic signal above superconducting transition temperature $T_c$ is a result of combination of thermal and quantum fluctuations. The pseudogap line, which could be a crossover line from thermal to quantum fluctuations, follows a universal equation, applied also in CeCoIn$_5$ and Pr$_{2-x}$Ce$_x$CuO$_{4-y}$. The quantum fluctuations in Bi2201 could be associated with two quantum critical points located in underdoped and overdoped region. [Preview Abstract] |
|
P1.00338: Application of Aligned 8CB: A Solution to ``Time Lag'' Drawback of LCDs Dipti Sharma Liquid crystal devices (LCDs) uses nematic to isotropic (N-I) phase transition of the liquid crystal to get more vibrant images on the screen where LCDs takes longer response times ``Time Lag'' than their plasma and CRT counterparts creating visible ghosting when images rapidly change. For example, when moving the mouse quickly on a LCD, multiple cursors can sometimes be seen. This time lag can be related to the time taken by nematic state to reach to the isotropic state of liquid crystal during the N-I phase transition. The current research focuses how the aligned domain of the octylcyanobiphenyl (8CB) liquid crystal indicates a reduced time lag during N-I transition in the presence of an external magnetic field when compared to the unaligned 8CB. The presence of magnetic field imposes a force to the liquid crystal molecules and changes the director of nematic domain towards field creating a long range ordered nematic matrix of the liquid crystal 8CB and forces the N-I phase transition to occur earlier than unaligned 8CB phase transition. [Preview Abstract] |
|
P1.00339: Feedback Cooling in Hybrid Atom--Optomechanical Systems James Bennett, Lars Madsen, Mark Baker, Halina Rubinsztein-Dunlop, Warwick Bowen Hybrid atom--optomechanical devices may be engineered such that a mesoscopic mechanical oscillator is sympathetically cooled by optically-mediated coupling to an atomic cloud, potentially to the motional ground state. Alternatively, one can cool the oscillator by measuring its position and applying a feedback force. Our modelling shows that an optimised classical derivative feedback scheme outperforms atomic sympathetic cooling over a wide range of experimental conditions. In the limit that all optical fields may be adiabatically eliminated from the description of the system we find an analytical power spectrum: integration of which indicates that both cooling strategies are capable of reaching temperatures near to the ground state, though in different parameter regimes. From this we obtain criteria which specify the optimum cooling strategy as a function of experimental specifications. Interestingly, it is never beneficial to combine the two cooling mechanisms. This finding implies that systems with sufficient optomechanical cooperativity are best cooled by feedback, with coupling to the atoms being reserved for later manipulations of the mechanical state. Conversely, sympathetic cooling is necessary if the optomechanical coupling rate is small. [Preview Abstract] |
|
P1.00340: Li dynamics in carbon-rich polymer-derived SiCN ceramics probed by NMR Seung-Ho Baek, Lukas Reinold, Magdalena Graczyk-Zajac, Ralf Riedel, Franziska Hammerath, Bernd Buechner, Hajo Grafe We report $^{7}$Li, $^{29}$Si, and $^{13}$C NMR studies of two different carbon-rich SiCN ceramics SiCN-1 and SiCN-3 derived from the preceramic polymers polyphenylvinylsilylcarbodiimide and polyphenylvinylsilazane, respectively. From the spectral analysis of the three nuclei at room temperature, we find that only the $^{13}$C spectrum is strongly influenced by Li insertion/extraction, suggesting that carbon phases are the major electrochemically active sites for Li storage. Temperature and Larmor frequency ($\omega_L$) dependences of the $^7$Li linewidth and spin-lattice relaxation rates $T_1^{-1}$ are described by an activated law with the activation energy $E_A$ of 0.31 eV and the correlation time $\tau_0$ in the high temperature limit of 1.3 ps. The $3/2$ power law dependence of $T_1^{-1}$ on $\omega_L$ which deviates from the standard Bloembergen, Purcell, and Pound (BPP) model implies that the Li motion on the $\mu$s timescale is governed by continuum diffusion mechanism rather than jump diffusion. On the other hand, the rotating frame relaxation rate $T_{1\rho}^{-1}$ results suggest that the slow motion of Li on the ms timescale may be affected by complex diffusion and/or non-diffusion processes. [Preview Abstract] |
|
P1.00341: Zitterbewegung of graphene superlattices Qing Wang We investigate Zitterbewegung (ZB) behavior in a graphene superlattice with new generations of massless Dirac fermions having highly anisotropic group velocities, which results from a graphene subject to one-dimensional periodic potentials. It is found that with tuning parameters of the periodic potential, the frequency of ZB oscillations can be of order 10$^{12}$ Hz, the amplitude can increase to hundreds of angstroms, and their attenuation can become much slower. The required parameters of the graphene superlattice can be realized under current experimental conditions, thus providing a good system for probing the ZB effect experimentally. [Preview Abstract] |
|
P1.00342: Quantum Anomalous Hall Effect Induced on SnTe/EuTe Interface Chaokai Li The Anomalous Hall effect (AHE), which is the Hall effect in magnetic materials in the absence of external magnetic field, was discovered over 100 years ago. However, it's quantized version (QAHE) was discussed by physicists quite recently. Here we propose an experimental realization of QAHE on SnTe/EuTe interface. SnTe is an experimentally verified topological crystalline insulator, which supports topologically protected gapless surface states on surfaces preserving a subset of bulk mirror planes. Bulk EuTe is an antiferromagnetic insulator. We propose a strategy to open gaps on the original gapless surface states of SnTe by an epitaxial EuTe layer. The exchange field of the magnetic EuTe layer breaks time reversal symmetry of the SnTe surface Hamiltonian, and we can theoretically demonstrate that the gap is topologically nontrivial. This is confirmed by directly computing the electronic Chern number of the heterostructure via first principles calculation. [Preview Abstract] |
|
P1.00343: Symmetric tensor decomposition-configuration interaction study of BeH$_2$ Shusuke Kasamatsu, Wataru Uemura, Osamu Sugino The configuration interaction (CI) is a straightforward approach to describing interacting fermions. However, its application is hampered by the non-polynomially increasing computational time and memory requirements with the system size. To overcome this problem, we have been developing a variational method based on the canonical decomposition of the full-CI coefficients, which we call the symmetric tensor decomposition (STD)-CI [1]. The applicability of STD-CI was tested for simple molecular systems, but here we test it using a stringent benchmark system, i.e., the insertion of Be into H$_2$. The Be + H$_2$ system is known for strong configurational degeneracy along the insertion pathway, and has been used for assessing a method's capability to treat correlated systems. We obtained errors compared to full CI results of $\sim$10 mHartrees when using a rank 2 decomposition of the full CI coefficients. This is a huge improvement over Hartree-Fock results having errors of up to $\sim$100 mHartrees in worst cases, although not as good as, e.g., CAS-CCSD with errors less than 1 mHartree [2].\\[4pt] [1] Uemura and Sugino, Phys. Rev. Lett. 109, 253001 (2013).\\[0pt] [2] Lyakh et al., Theor. Chem. Acc. 116, 427 (2006). [Preview Abstract] |
|
P1.00344: Nanocrystalline CuNi alloys: improvement of mechanical properties and thermal stability Josep Nogues, A. Varea, E. Pellicer, K.M. Sivaraman, S. Pane, B.J. Nelson, S. Surinach, M.D. Baro, J. Sort Nanocrystalline metallic films are known to benefit from novel and enhanced physical and chemical properties. In spite of these outstanding properties, nanocrystalline metals typically show relatively poor thermal stability which leads to deterioration of the properties due to grain coarsening. We have studied nanocrystalline Cu$_{1-x}$Ni$_x$ (0.56 $<$ x $<$ 1) thin films (3 $\mu$m-thick) electrodeposited galvanostatically onto Cu/Ti/Si (100) substrates. CuNi thin films exhibit large values of hardness (6.15 $<$ H $<$ 7.21 GPa), which can be tailored by varying the composition. However, pure Ni films (x = 1) suffer deterioration of their mechanical and magnetic properties after annealing during 3 h at relatively low temperatures (T$_{ANN}$ $>$ 475 K) due to significant grain growth. Interestingly, alloying Ni with Cu clearly improves the thermal stability of the material because grain coarsening is delayed due to segregation of a Cu-rich phase at grain boundaries, thus preserving both the mechanical and magnetic properties up to higher T$_{ANN}$. [Preview Abstract] |
|
P1.00345: Electronic Structure Calculation of Permanent Magnets using the KKR Green's Function Method Shotaro Doi, Hisazumi Akai Electronic structure and magnetic properties of permanent magnetic materials, especially Nd$_2$Fe$_{14}$B, are investigated theoretically using the KKR Green's function method. Important physical quantities in magnetism, such as magnetic moment, Curie temperature, and anisotropy constant, which are obtained from electronics structure calculations in both cases of atomic-sphere-approximation and full-potential treatment, are compared with past band structure calculations and experiments. The site preference of heavy rare-earth impurities are also evaluated through the calculation of formation energy with the use of coherent potential approximations. Further, the development of electronic structure calculation code using the screened KKR for large super-cells, which is aimed at studying the electronic structure of realistic microstructures (e.g. grain boundary phase), is introduced with some test calculations. [Preview Abstract] |
|
P1.00346: Simulation study of correlation of local transformation in a supercooled liquid Yuto Kimura In the supercooled liquid state, the motion of particles becomes more anisotropic and heterogeneous toward the glass transition and their mechanical properties changes dramatically. In order to characterize anisotropic dynamics of supercooled liquids, we employed molecular dynamics simulations of a binary mixture and investigated properties of local transformation in the supercooled liquid. First, we calculated neighboring particles that were identified by voronoi analyses. Next, we calculated angular correlation of relative displacement vectors of neighboring particles to qualify the local transformation and the time scales of their correlations in supercooled liquid state. We found that the time scale of correlation of local transformation becomes longer as the temperature decreases. Additionally, the behavior of the time scale of correlation of local transformation is more similar to structural relaxation time than the peak time of the non-Gaussian parameter. [Preview Abstract] |
|
P1.00347: Bridging the gap: From computational agent-based models to analytical tractability Louise Dyson, Luis F. Lafuerza, Alan J. McKane, Bruce Edmonds In order to investigate complex inter-dependent systems such as those found in the biological and social sciences, one is often left trying to examine complicated, descriptive models. To aid in understanding these it would be helpful to develop tools for examining how these relate to simpler models with understandable and analysable mechanisms. We describe a way of analysing the formation of a social network in a complex computational model that represents voting patterns in a population of agents who may live, work and form friendships together. Once the network is formed, we examine the spread of ``intention to vote" and compare our findings with those found in the descriptive, agent-based model. [Preview Abstract] |
|
P1.00348: Impedance analysis of MEHPPV-CNT organic electroluminescence devices SeGi Yu, Beomhee Won Recently, organic electroluminescence (EL) devices have been intensively researched due to successful commercialization of organic TVs. Polymers are focused as electroluminescent materials for roll-to-roll process. Carbon nanotubes (CNTs) have been used in organic-based devices producing high performance. However, there were few remarks on the current, hinting poor efficiency. [Nano Lett. 11, 966 (2011)] It was deduced from the large length of CNTs yielding unwanted leakage current paths within the devices. Consequently, it is necessary to control the properties of CNTs for this purpose. Here, CNT-incorporated AC-driving OLEDs were investigated with CNT-embedded MEH-PPV as an emitting material. CNT-incorporated OLEDs were brighter more than 50\% and the turn-on voltages of the devices roughly reduced 50\%. One of main reason for this reduction in the current is thought to be the micro-capacitor effects caused by CNT networking in MEHPPV. The impedance of the devices was analyzed by fitting the data with serial and parallel combination of two resistors and one capacitor, which explains the low current nature of the device. The detailed explanation of high bright and low current of CNT-incorporated OLEDs will be explained in the poster. [Preview Abstract] |
|
P1.00349: ABSTRACT WITHDRAWN |
|
P1.00350: Multiple dynamical regimes in colloidal polymer suspension with competing interaction Sunita Srivastava, Suhasini Kishore, Suresh Narayanan, Surita Bhatia We present combined x-ray photon correlation spectroscopy (XPCS), dynamic light scattering (DLS) and rheometry study of dynamical transitions in colloidal polymer suspension with short range attraction and long range repulsion. Our system is based on aqueous dispersions of laponite\textregistered nanoplatelets where the range and magnitude of competing short range depletion attraction and long range repulsion interparticle interaction, were tuned by varying the concentration and molecular weight of the adsorbing poly(ethylene oxide) chains. We observed three distinct dynamical regime: a state of slow dynamics consisting of finite clusters for which interparticle interactions are predominantly repulsive, second dynamical regime, at above saturation concentration of added polymer in which small clusters of nanoparticles are held by short range depleting attraction and third regime of percolating network in which nanoclusters diffuse freely in a network with characteristic length larger than the size of the cluster. Through our experiments we demonstrate experimental parameters to control the macroscopic mechanical and dynamical properties in colloidal suspension by manipulating the interparticle interactions at nanoscale. [Preview Abstract] |
|
P1.00351: Photon transport and localization in optical superlattices Pin-Chun Hsieh, Chung-Jen Chung, James McMillan, Min-An Tsai, Ming Lu, Nicolae Panoiu, Chee Wei Wong One of the most daunting challenges in optics is to control the flow of light at the mesoscopic scales, the main impediment being the limitations imposed by diffraction. Here we examine the photon transport and collimation in optical superlattices, involving transverse guided resonances and disorder-induced localization. First we demonstrate a new mechanism for beam collimation through cascaded tunneling of guided resonances in the superlattices. Near- and far-field measurements, along with precision group delay measurements, elucidate the coupled transverse guiding modes for the electromagnetic propagation, supported by large-scale numerical modeling. Second, with pre-designed structural disorder in random heptagonal, square and triangular scattering sites, we uncover the mechanism of disorder-induced transverse localization in the chip-scale optical superlattices. Arrested spatial divergence is captured in the power-law scaling, along with exponential and asymmetric mode profiles, and increasing collimation bandwidth for increasing disorder over 4,000 scattering sites. With increasing structural disorder, we observe the crossover from cascaded guided resonances into transverse localization modes beyond the ballistic and diffusive transport of photons. [Preview Abstract] |
|
P1.00352: Scanning thermal atomic force microscopy of MoS$_{2}$ Jaesu Kim, Jungjun Bae, Jungho Kim, Mohan Ghimine, Homin Choi, Jinhee Lee, Gibum Kim, Seongchu Lim The morphology of MoS$_{2}$ flakes mechanically exfoliated onto a SiO$_{2}$ substrate was studied using scanning thermal atomic force microscopy. In the microscopy, the MoS$_{2}$ flake, protruded on a substrate at 300K, subsided by a few nm at 550K. However, as we lowered the substrate temperature to room temperature, the flake protruded again. This is due to the different convectional heat loss on between MoS$_{2}$ and SiO$_{2}$ at 550K. Thermal properties of MoS$_{2}$ layer such as cross plane heat conduction and convectional heat loss will be discussed further in this presentation. [Preview Abstract] |
|
P1.00353: Plane wave density functional molecular dynamics study of exothermic reactions of Al/CuO thermites Suleiman Oloriegbe, Thomas Sewell, Zhen Chen, Shan Jiang, Yong Gan Exothermic reactions between nanosize aluminum (Al) and copper oxide (CuO) structures are of current interest because of their high reaction enthalpy and energy density which exceed those of traditional monomolecular energetic compounds such as TNT, RDX, and HMX. In this work, molecular dynamics simulations with forces obtained from plane wave density functional theory are used to investigate the atomic-scale and electronic processes that occur during the fast thermite reactions between Al and CuO nanostructures under adiabatic conditions. Aluminum surfaces in contact with O-exposed and Cu-exposed CuO surfaces are studied. Starting from initial temperature T $=$ 800 K, we have observed: faster chemical reaction at the oxygen-rich interface during the initial 0.5 ps, linear temperature rise, and fast oxygen diffusion into the Al region with the rate 1.87 X 10$^{-3}$ cm$^{2}$/s. The density-derived electrostatic and chemical method is used to evaluate the net atomic charges and charge transfer during the important redox processes. High charge density around the oxygen-exposed interface may be responsible for the faster initial reactions at that interface. The overall reaction rate, determined using the time evolution of Cu-O charge orbital overlap population, is approximately first order. [Preview Abstract] |
|
P1.00354: X-ray and neutron diffraction study of Co$_{\mathrm{2-x}}$TiSn (x \textless 0.5) solid solution Andrey Kosinskiy, Oystein Prytz, Ole-Bjorn Karlsen, Magnus Sorby Heusler compounds are a large class of intermetallic materials with a wide range of applicable properties, spintronics and thermoelectrics just to mention a few. This class of materials can be divided into two main groups, materials with 2:1:1 composition called Heuslers and materials with 1:1:1 composition, also called Half-Heuslers. In some ternary systems with existence of Heusler structures there is a solid solution between Heusler and Half-heusler phases. In this work the Co-Ti-Sn ternary system was studied. Batches with different starting compositions ranging from CoTiSn to Co$_{\mathrm{2}}$TiSn were arc melted. The buttons resulting from the arc melting were crushed, annealed and studied by in-lab X-ray, synchrotron and neutron diffraction, and by scanning (SEM) and transmission (TEM) electron microscopy. Phase equilibria is discussed with focus on structural composition and disorder in solid solution Co$_{\mathrm{2-x}}$TiSn. In the literature there has been some discussion as to whether the Half-Heusler CoTiSn exists or not. Our observation supports the paper by T. Nobata et al. (2002) where Co$_{\mathrm{2-x}}$TiSn is reported for x ranging between 0.08 and 0.50. [Preview Abstract] |
|
P1.00355: Enhanced nonlinear optical processes with film-coupled plasmonic nanoantennas Christos Argyropoulos, Cristian Ciraci, David Smith Interesting nonlinear optical effects require extremely high pump intensities to be triggered, which makes their practical realization impossible. However, the extreme confinement and enhancement of the fields inside plasmonic waveguides and nanocavities is expected to boost these effects and make them accessible with much lower input intensities and within nanosized structures. We will present colloidally synthesized plasmonic nanoantennas strongly coupled to metallic films, which can lead to the exploitation of nonlinear processes at low power levels and in highly integrated formats. These plasmonic systems hold great promise for enhancing and controlling different nonlinear optical processes. Their robust and sensitive scattering response can be easily controlled by their geometrical and material parameters. Strong local field enhancement can be generated at the gap region between the nanoantennas and the metallic film, where cavity-like plasmonic modes are excited in highly subwavelength regions. In particular, we will show that boosted third-order nonlinear optical processes can be obtained by loading Kerr nonlinear optical materials inside the nanogap of the proposed nanoantennas. Strong optical bistability and giant all-optical scattering switching behavior will be presented. The proposed nonlinear plasmonic designs can lead to new integrated nanophotonic devices, such as efficient, low-power and ultrafast all-optical memories, logic-gates and scattering nanoswitches. [Preview Abstract] |
|
P1.00356: Electronic Structures of HfMoNbTaTiZr Alloy Shizhong Yang, Liuxi Tan, Cheng Guo, Ebrahim Khosravi, Diola Bagayoko Recent study shows HfMoNbTaTiZr alloy has higher yield strength at 1200 degree C than HfMoTaTiZr alloy. To gain atomic level understanding the mechanism, we studied the electronic structures of HfMoNbTaTiZr alloy from first principles density functional theory method and molecular dynamics simulation. The results are compared with those of HfMoTaTiZr alloy. [Preview Abstract] |
|
P1.00357: Functionalized patchy particles using colloidal lenses Christine Middleton Colloidal assembly had been limited by the isotropic, nonspecific nature of interactions between spherical colloidal particles. By giving particles patches functionalized with single stranded DNA, these interactions can be made both directional and specific. We create patchy particles by adding patches to spherical emulsion droplets using the depletion interaction. First we make polystyrene particles in the shape of contact lenses to be the patches. The lenses are functionalized with single stranded DNA on their convex side. Then we put the lenses on the surface of oil emulsion droplets using the depletion interaction, creating a patch (or multiple patches) on the surface of each emulsion droplet. The emulsion droplets can now interact with each other in a specific, directional way through DNA functionalized patches. [Preview Abstract] |
|
P1.00358: The Local Fock Exchange Potential in Kohn Sham Theory Thomas Hollins, Stewart Clark, Nikitas Gidopoulos We propose the Local Fock Exchange (LFX) Potential as an alternative to the Exchange Optimised Effective Potential (XOEP) for the definition of the (exact) exchange potential in Kohn Sham theory. Physically equivalent to XOEP, the LFX potential is inherently well behaved when expanded in finite basis sets. After an introduction to the LFX potential, we shall present calculations and compare results of the LFXP with XOEP for a large number of systems (insulators, semiconductors and antiferromagnets), as well as presenting an extension of XOEP and LFX to metals. [Preview Abstract] |
|
P1.00359: Quantum-limited amplification and parametric instability in the reversed dissipation regime of cavity optomechanics Alexey Feofanov, Andreas Nunnenkamp, Vivishek Sudhir, Alexandre Roulet, Tobias Kippenberg Cavity optomechanical phenomena, such as cooling, amplification or optomechanically induced transparency, emerge due to a strong imbalance in the dissipation rates of the parametrically coupled electromagnetic and mechanical resonators. Here we explore for the first time the reversed dissipation regime where the mechanical energy relaxation rate exceeds the energy decay rate of the electromagnetic cavity. We demonstrate that this regime allows for mechanically-induced amplification (or cooling) of the electromagnetic mode. Gain, bandwidth, and added noise of this amplifier are derived and compared to amplification in the normal dissipation regime. In addition, we analyze the parametric instability, i.e.~optomechanical Brillouin lasing, in this regime and contrast it to conventional optomechanical phonon lasing. Finally, we propose an experimental scheme that realizes the reversed dissipation regime using parametric coupling and optomechanical cooling with a second electromagnetic mode enabling quantum-limited amplification. Recent advances in high-$Q$ superconducting microwave resonators make the reversed dissipation regime experimentally realizable. [Preview Abstract] |
|
P1.00360: Ultrafast Opto-thermal measurements of spin-Seebeck effect Brandon Giles, Zihao Yang, Thomas Kent, Roberto Myers The spin-Seebeck effect (SSE) remains one of the most intriguing and potentially useful spin-thermal phenomena of the last decade. In conjunction with the inverse-spin hall effect, the SSE results in the generation of an electric potential from a thermally-induced spin current. It has been reported in magnetic metals, semiconductors, and insulators and has been measured in a variety of different configurations, most recently in the opto-thermal setup reported by Weiller. In this method a laser pulse generates a temperature gradient that induces a spin current to flow from an insulating ferromagnet into a spin Hall metal, thereby inducing a transverse voltage. The underlying physics of the SSE remain somewhat elusive, although theoretical work explains that the SSE develops due to temperature gradients between the local magnon, phonon and electron populations within the magnetic material. We attempt to clarify the physics by presenting the first SSE induced transient electric current measurements from a custom built cryogenic opto-thermal setup. Single femtosecond laser pulses are used to generate transient thermal gradients allowing insight to the time-dependence of the SSE. Photo-carrier effects on SSE materials acquired by systematically tuning the wavelength of the femtosecond laser above the bandgap of the material will also be reported. [Preview Abstract] |
|
P1.00361: Slip and interfacial structure of polymer melts and solutions in contact with end-tethered polymers Philipp Gutfreund, Airidas Korolkovas, Georg Liesche, Andrew Dennison, Katharina Theis-Br\"ohl, Max Wolff, Bulent Akgun, Jean-Louis Barrat We present a neutron reflectometry (NR) study on polystyrene (PS) sheared in contact with functionalized solid surfaces. These methods provide a non-invasive tool to elucidate the structure of the buried interface with sub-nm resolution. We combine the scattering experiments with in situ surface sensitive rheology to gather information about the microscopic origin of interfacial slip. We have performed in situ shear-NR on PS melts and solutions in contact with chemically grafted PS chains. Entangled polymers can exhibit macroscopic slippage and its origin is supposed to arise from stretching of surface adsorbed chains and subsequent disentanglement from the free flowing chains. The combination of surface sensitive rheometry in a plate-plate torsional shear set-up and NR is potentially a unique technique to address this question by using labeled polymer chains chemically attached to the surface or in the free liquid. We present first results on in situ shear NR on PS melts in contact with high density PS brushes as well as entangled PS solutions flowing over grafted PS chains of the same length of lower density. In both cases we observe a structural change of the grafted PS at a certain shear rate that may be linked tostretching and/or disentanglement of the interfacial chains. [Preview Abstract] |
|
P1.00362: Self-assembly of triangular plates due to depletion interactions Carlos Mendoza, Denisse Reyes We study, using Monte Carlo simulations, the self-assembly of triangular plates immersed in a bath of smaller colloidal particles. Due to the depletion interactions produced by the small particles, the triangular plates effectively attract each other and additionally, they orient with their vertices in the same direction as shown by calculating the orientational order parameter. This implies that the depletion interactions produce a torque perpendicular to the planes containing the triangular plates. [Preview Abstract] |
|
P1.00363: ABSTRACT WITHDRAWN |
|
P1.00364: Local magnetic moments in doped BaFe2As2 Jacques Soullard, Ilya Kaplan, Raul Perez-Enriquez We present a comparative calculation of the high critical temperature superconductor doped BaFe2As2 with its parent compound at the electron correlation level by the embedded cluster method; the electron correlation is calculated at the second order M{\o}ller-Plesset perturbation theory level. For the pure material we consider the Ba Fe5As8 cluster, for the doped material the central Fe is substituted by Co or Ni. The analysis of orbital populations in the doped material reveals a charge density increase on the central impurity with respect to the charge density of the central Fe atom of the undoped case. On the other hand, the spin-density analysis allows to determine the distribution of the spin density on the neighboring of the central impurity. Both analysis show how the interaction between the central impurity and its neighboring Fe atoms participates to the formation mechanism of the local magnetic moments. [Preview Abstract] |
|
P1.00365: A cryogenic quantum gas scanning magnetic microscope Jack DiSciacca, Matthew Naides, Richard Turner, Ruby Lai, Benjamin Lev Improved measurements of strongly correlated and topologically non-trivial systems open the path to a better fundamental understanding of these materials as well as the possibility for predictive design of new materials. We are working to demonstrate atom chip trapping of quantum gases to enable single-shot, large area imaging of electronic transport through these materials via detection of magnetic flux at the $10^{-7}$ flux quantum level and below. Using the exquisite sensitivity of ultracold atoms in the form of either an atomic clock or Bose-Einstein condensate, the cryogenic atom chip technology we have recently demonstrated [1] will provide a magnetic flux detection capability that surpasses other techniques while allowing sample temperatures spanning $<$10 K to room temperature. We will report on experimental progress toward developing this novel quantum gas scanning magnetic microscope and describe our recent proposal to image topologically protected transport through a non-ideal topological insulator in a relatively model-independent fashion. \\[4pt] [1] M. A. Naides, R. W. Turner, R. A. Lai, J. M. DiSciacca, and B. L. Lev, Trapping ultracold gases near cryogenic materials with rapid reconfigurability, Appl. Phys. Lett. 103, 251112 (2013). [Preview Abstract] |
|
P1.00366: ABSTRACT WITHDRAWN |
|
P1.00367: Capacitive dependence on the thickness of silicon dioxide films grown by Atomic Layer deposition on silicon substrates using Tris (Dimethyl Amino) Silane (TDMAS) and Ozone Ekembu Kevin Tanyi, Sangram K. Pradhan, Aswini Pradhan ALD of SiO$_{2}$ using Tris (Dimethyl Amino) Silane has been reported in a number of research articles using this same precursor as well as water, hydrogen peroxide or ozone. SiO$_{2}$ is used widely in manufacture of MOS capacitors and MOS transistors where the quality of oxide formed is of utmost importance. In this comprehensive study, we fabricated MOS-Capacitors by patterning thin films of SiO$_{2}$ grown by ALD at 200 $^{\circ}$C on silicon substrates with front and back chromium metal contacts. The electrical characteristics were investigated for different film thicknesses ranging from 1 to 40 nm. The thicknesses of the films were measured using the EOT calculations. These were then compared to thickness measurements made with Ellipsometry and the mismatch is reported here. Published here are also the X-Ray Diffraction and Raman Spectroscopy results. What makes our data unique is that, we also provide valuable information, often missing in key fabrication process using ALD system for process compatibility. The effects of the choice of metal used on the electrical results as well as the effects of thermal processing have been explored for the high performance on the final characteristics of these MOS capacitors. [Preview Abstract] |
|
P1.00368: A single Jahn-Teller active electron as a multi-throw multipolar conductance switch Laura Rios, Joonhee Lee, Nicholas Tallarida, V. Ara Apkarian The final limit in miniaturization of molecular electronics may be regarded as functionality attained through the manipulation of a single electron and no other moving parts. We demonstrate this limit, in the form of a three-throw, bipolar, bistable conductance switch realized by manipulating a Jahn-Teller (JT) active electron on a single zinc etioporphyrin radical anion (ZnEtio-) molecule at the double barrier junction of a cryogenic scanning tunneling microscope (STM). The vibronic JT potential determines the anisotropy of the topography. At resonance, the topography undergoes bistable switching and electroluminescence. Their difference map yields the wiring diagram of the conductance switch; and the spatially-resolved switching kinetics within the molecule yields functional maps for controlling the frequency/amplitude of the switch. Through detailed balance of spontaneous on/off rates, the energy difference between the states leading to the observed bistability is determined as $\sim$ 1 meV. We obtain a consistent interpretation of all observations by assigning the two states to Kramer's pair, with degeneracy broken due to pseudo-rotation on the JT vector potential, where the switching is understood as spin-flipping driven by scattering of tunneling electrons at resonance. [Preview Abstract] |
Follow Us |
Engage
Become an APS Member |
My APS
Renew Membership |
Information for |
About APSThe American Physical Society (APS) is a non-profit membership organization working to advance the knowledge of physics. |
© 2024 American Physical Society
| All rights reserved | Terms of Use
| Contact Us
Headquarters
1 Physics Ellipse, College Park, MD 20740-3844
(301) 209-3200
Editorial Office
100 Motor Pkwy, Suite 110, Hauppauge, NY 11788
(631) 591-4000
Office of Public Affairs
529 14th St NW, Suite 1050, Washington, D.C. 20045-2001
(202) 662-8700