Bulletin of the American Physical Society
APS March Meeting 2013
Volume 58, Number 1
Monday–Friday, March 18–22, 2013; Baltimore, Maryland
Session C1: Invited Session: Spin-Orbit-Controlled Ground States in Single-Crystal Iridates
Sponsoring Units: DCMPChair: Lance De Long, University of Kentucky
Room: Ballroom I
Monday, March 18, 2013 2:30PM - 3:06PM |
C1.00001: Pressure and Doping Effects in Layered Iridates Invited Speaker: Gang Cao |
Monday, March 18, 2013 3:06PM - 3:42PM |
C1.00002: Tuning the Spin-Orbit Coupled Ground State of Iridates with Pressure Invited Speaker: Daniel Haskel The electronic ground state of the novel magnetic insulators BaIrO$_{3}$ [1] and Sr$_{2}$IrO$_{4}$ [2] is probed at ambient and high-pressure conditions using x-ray absorption and magnetic circular dichroism measurements. A spin-only description of the magnetic ground state is ruled out, spin-orbit entanglement in 5$d $states resulting in comparable orbital ($L_{z})$ and spin ($S_{z})$ contributions to the localized magnetic moments despite the presence of strong crystal fields and band effects in Ir \textit{5d} states. Pressures of $\sim$ 5 GPa and 20 GPa quench the ``weak'' ferromagnetic ordering in BaIrO$_3$ and Sr$_{2}$IrO$_{4}$, respectively, despite robust local moments and insulating behavior remaining at these pressures, confirming the Mott character of the insulating gap. The expectation value of the angular part of the S-O interaction, \textless \textbf{L}\textbullet \textbf{S}\textgreater , extrapolates to zero at 80--90 GPa in Sr$_{2}$IrO$_{4}$ where an increased bandwidth strongly mixes J$_{\mathrm{eff}}=$1/2, 3/2 states and S-O interactions no longer dominate the electronic ground state. The likely appearance of a single, metallic band at a pressure of $\sim$ 1 Mbar (100 GPa) provides an exciting backdrop for searches of superconductivity at high pressures [3]. Work at Argonne is supported by the U.S. Department of Energy (DOE), Office of Science, Office of Basic Energy Sciences, under Contract No. DE-AC-02-06CH11357. \\[4pt] [1] M. A. Laguna Marco \textit{et al}., \textit{Phys. Rev. Lett.} \textbf{105}, 216407 (2010).\\[0pt] [2] D. Haskel \textit{et al., Phys. Rev. Lett.} \textbf{109}, 027204 (2012).\\[0pt] [3] F. Wang and T. Senthil, \textit{Phys. Rev. Lett}. \textbf{106}, 136402 (2011). [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 4:18PM |
C1.00003: Twisted Hubbard Model for Sr$_2$IrO$_4$: Magnetism and Possible High Temperature Superconductivity Invited Speaker: T. Senthil Sr$_2$IrO$_4$ has been suggested as a Mott insulator from a single $J_{eff}=1/2$ band, similar to the cuprates. However this picture is complicated by the measured large magnetic anisotropy and ferromagnetism. Based on a careful mapping to the $J_{eff}=1/2$ (pseudospin-1/2) space, we propose that the low energy electronic structure of Sr$_2$IrO$_4$ can indeed be described by a SU(2) invariant pseudospin-1/2 Hubbard model very similar to that of the cuprates, but with a ``twisted'' coupling to external magnetic field (a g-tensor with a staggered antisymmetric component). This perspective naturally explains the magnetic properties of Sr$_2$IrO$_4$. We also derive several simple facts based on this mapping and the known results about the Hubbard model and the cuprates, which may be tested in future experiments on Sr$_2$IrO$_4$. In particular we propose that (electron-)doping Sr$_2$IrO$_4$ can potentially realize high-temperature superconductivity. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:54PM |
C1.00004: Exotic Physics from Doping a Strongly Spin-Orbit Coupled Mott Insulator Invited Speaker: Yue Cao Doping a Mott insulator, as in the case of high T$_{c}$ cuprates, has given rise to many exotic physics in the doping diagram, such as the pseudogap, Fermi arc and vortex phase. An important topic in these strongly correlated systems is to distinguish the properties that are intrinsic to the Mott physics from those that are materials specific. Recent studies of Sr$_{2}$IrO$_{4}$, whose Mottness requires strong spin orbit coupling, provide a new venue to look into the topic, where the spin, orbital, charge and lattice degrees of freedom interact. Using ARPES we studied the evolution of the electronic structure of Sr$_{2}$IrO$_{4}$ with both Rh and La doping. We show that the Rh substitution acts as immobile effective local holes, without a strong renormalization of the overall band structure, while La acts as an electron dopant. Particularly interesting is the lightly hole-doped regime, which showcases some of the same exotic physics as seen in the cuprates, including pseudogaps and Fermi arcs. By observing the scattering rate evolution as a function of energy and temperature, we confirm the non-Fermi liquid nature of the Fermi arc. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:30PM |
C1.00005: Magnetic and crystal structures of the honeycomb lattice Na$_{2}$IrO$_{3}$ and single layer Sr$_{2}$IrO$_{4}$ Invited Speaker: Feng Ye 5$d$ based iridates have recently attracted great attention due to the large spin-orbit coupling (SOC). It is now recognized that the SOC that competes with other relevant energies, particularly the on-site Coulomb interaction U, and have driven novel electronic and magnetic phases [1-3]. Combining single crystal neutron and x-ray diffractions, we have investigated the magnetic and crystal structures of the honeycomb lattice Na$_{2}$IrO$_{3}$ [4]. The system orders magnetically below 18.1 K with Ir$^{4+}$ ions forming zigzag spin chains within the layered honeycomb network with ordered moment of 0.22 $\mu$B /Ir site. Such a configuration sharply contrasts the Neel or stripe states proposed in the Kitaev-Heisenberg model. The structure refinement reveals that the Ir atoms form nearly ideal 2D honeycomb lattice while the IrO$_{6}$ octahedra experience a trigonal distortion that is critical to the ground state. The results of this study provide much-needed experimental insights into the magnetic and crystal structure crucial to the understanding of the exotic magnetic order and possible topological characteristics in the 5$d$-electron based honeycomb lattice. Neutron diffraction experiments are also performed to investigate the magnetic and crystal structure of the single layer iridate Sr$_{2}$IrO$_{4}$, where new structural information and spin order are obtained that is not available from previous neutron powder diffraction measurement.\\[4pt] [1] B. J. Kim \textit{et al.}, Phys. Rev. Lett. 101, 076402 (2008).\\[0pt] [2] B. J. Kim \textit{et al.}, Science 323, 1329 (2009).\\[0pt] [3] A. Shitade \textit{et al.}, Phys. Rev. Lett. 102, 256403 (2009).\\[0pt] [4] F. Ye, \textit{et al.}, Phys. Rev. B 85, 180403(R) (2012) [Preview Abstract] |
Session C2: Invited Session: Coulomb Drag and Exciton Condensation in Semiconductor and Graphene Double Layers
Sponsoring Units: DCMPChair: Michael Lilly, Sandia National Laboratories
Room: Ballroom II
Monday, March 18, 2013 2:30PM - 3:06PM |
C2.00001: Exciton Transport and Perfect Coulomb Drag Invited Speaker: Debaleena Nandi Exciton condensation is realized in closely-spaced bilayer quantum Hall systems at $\nu_T =1$ when the total density in the two 2D electron layers matches the Landau level degeneracy. In this state, electrons in one layer become tightly bound to holes in the other layer, forming a condensate similar to the Cooper pairs in a superconductor. Being charge neutral, these excitons ought to be free to move throughout the bulk of the quantum Hall fluid. One therefore expects that electron current driven in one layer would spontaneously generate a ``hole'' current in the other layer, even in the otherwise insulating bulk of the 2D system. We demonstrate precisely this effect, using a Corbino geometry to defeat edge state transport. Our sample contains two essentially identical two-dimensional electron systems (2DES) in GaAs quantum wells separated by a thin AlGaAs barrier. It is patterned into an annulus with arms protruding from each rim that provide contact to each 2DES separately. A current drag geometry is realized by applying a drive voltage between the outer and inner rim on one 2DES layer while the two rims on the opposite layer are connected together in a closed loop. There is no direct electrical connection between the two layers. At $\nu_T =1$ the bulk of the Corbino annulus becomes insulating owing to the quantum Hall gap and net charge transport across the bulk is suppressed. Nevertheless, we find that in the drag geometry appreciable currents do flow in each layer. These currents are almost exactly equal magnitude but, crucially, flow in opposite directions. This phenomenon reflects exciton transport within the $\nu_T=1$ condensate, rather than its quasiparticle excitations. We find that quasiparticle transport competes with exciton transport at elevated temperatures, drive levels, and layer separations. This work represents a collaboration with A.D.K. Finck, J.P. Eisenstein, L.N. Pfeiffer and K.W. West. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:42PM |
C2.00002: Coulomb Drag and Magnetotransport in Graphene Double Layers Invited Speaker: Emanuel Tutuc Graphene double layers, a set of two closely spaced graphene monolayers seperated by an ultra-thin dielectric, represent an interesting electron system to explore correlated electron states. We discuss the fabrication of such samples using a layer-by-layer transfer approach, the electron transport in individual layers at zero and in a high magnetic field, and Coulomb drag measurements. Coulomb drag, probed by flowing a drive current in one layer, and measuring the voltage drop in the opposite layer provides a direct measurement of the electron-electron scattering between the two layers, and can be used to probe the electron system ground state. Coulomb drag in graphene, measured as a function of both layer densities and temperature reveals two distinct regimes: (i) diffusive drag at elevated temperatures, above 50 K, and (ii) mesoscopic fluctuations-dominated drag at low temperatures [1, 2]. A second topic discussed here is a technique that allows a direct measurement of the Fermi energy in an electron system with an accuracy independent of the sample size, using a graphene double layer heterostructure. The underlying principle of the technique is that an interlayer bias applied to bring the top layer to the charge neutrality point is equal to the Fermi energy of the bottom layer, which in effect renders the top graphene layer a resistively detected Kelvin probe [3]. We illustrate this method by measuring the Fermi velocity, Landau level spacing, and Landau level broadening in monolayer graphene. Work done in collaboration with S. Kim, I. Jo, J. Nah, D. Dillen, K. Lee, B. Fallahazad, Z. Yao, and S. K. Banerjee. \\[4pt] [1] S. Kim \textit{et al.}, \textit{Phys. Rev. B }\textbf{83}, 161401 (2011).\\[0pt] [2] S. Kim, E. Tutuc, \textit{Sol. State Comm}. \textbf{152}, 1283 (2012).\\[0pt] [3] S. Kim \textit{et al.},\textit{ Phys. Rev. Lett. }\textbf{108}, 116404 (2012). [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 4:18PM |
C2.00003: Interaction phenomena and Coulomb drag in graphene-based heterostructures Invited Speaker: Andre Geim Double-layer graphene heterostructures with boron nitride as a thin insulating barrier allow us to achieve a strongly interacting regime such that the two Dirac liquids effectively nest within the same plane but can be tuned and measured independently. The experiment reveals many unexpected features that are related to strong excitonic effects and mutual polarization of the graphene layers, which will be discussed in this talk. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:54PM |
C2.00004: Interlayer Coherence and Transport in Quantum Hall Bilayers and Dirac Materials Invited Speaker: Dmytro Pesin I will discuss two phenomenological descriptions of low-current transport in bilayer quantum Hall system with exciton condensates [1], one based on a Landauer-Buttiker description of Andreev scattering at contacts to coherent bilayers, and one based on a simplified single-parameter p-ology description of the weak to strong interlayer coupling crossover. The Andreev scattering phenomenology is intended to apply when the condensate is well developed and is used to predict current-voltage relationships for a variety of two-contact geometries. I will also apply this formalism to circumstances in which the tunnel current exceeds its critical value and the condensate is time-dependent. The p-ology approach will establish the universal development of large longitudinal drags, even in homogeneous coherent samples, as the condensate weakens and the Hall drag is reduced. Further, I will discuss the interaction-enhanced coherence in layered Dirac systems: two graphene or topological insulator surface-state layers, and the estimates of its strength based on the imaginary-axis gap equations in the random phase approximation [2]. Using a self-consistent treatment of dynamic screening of Coulomb interactions in the gapped phase, I will show that the excitonic gap can reach values on the order of the Fermi energy at strong interactions. The gap will turn out to be a discontinuous function of the interlayer separation and effective fine structure constant, revealing a first-order phase transition between effectively incoherent and interlayer coherent phases. \\[4pt] [1] D. A. Pesin and A. H. MacDonald, Phys. Rev. B 84, 075308 (2011)\\[0pt] [2] Inti Sodemann, D. A. Pesin, and A. H. MacDonald, Phys. Rev. B 85, 195136 (2012) [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:30PM |
C2.00005: Energy-driven Couomb Drag in Graphene Invited Speaker: L.S. Levitov |
Session C3: Invited Session: Metamaterials
Sponsoring Units: DMPChair: David Cahill, University of Illinois
Room: Ballroom III
Monday, March 18, 2013 2:30PM - 3:06PM |
C3.00001: James C. McGroddy Prize for New Materials Lecture: Transformation optics shapes metamaterials Invited Speaker: John Pendry Metamaterials offer a huge range of new electromagnetic properties: negative refraction, spatial inhomogeneity to name only two. To exploit the possibilities offered in this new world we need a new design tool. Maxwell's equations are exact at the classical level but lack transparency; Snell's law is elegantly visual, an aid to the imagination, but fails to account for many vital aspects of electromagnetism. Transformation optics retains an intuitive appeal, replacing the rays of Snell's law with the field lines of Maxwell whose equations is represents exactly. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:42PM |
C3.00002: James C. McGroddy Prize Talk Invited Speaker: David Smith |
Monday, March 18, 2013 3:42PM - 4:18PM |
C3.00003: James C. McGroddy Prize Talk - Photonic Metamaterials: Review, Challenging and Opportunities Invited Speaker: Costas Soukoulis In the last decade, a new area of photonic research has emerged, that has given the ability to produce materials with entirely novel electromagnetic properties. Known as metamaterials (MMs) for their ability to take beyond conventional materials. Clearly, the field of MMs can develop mould-breaking technologies for a plethora of applications, where control over light (or more generally electromagnetic radiation) is a prominent ingredient--among them telecommunications, solar energy harvesting, biological and THz imaging and sensing, optical isolators and polarizers. In this talk, I give an introduction into this emerging field, review recent progress (chiral and 3D MMs, bringing gain to MMs, and what is a good conductor for use in MMs and in plasmonics and Casimir forces) and highlight remaining challenges and opportunities. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:54PM |
C3.00004: Three-dimensional Chiral Plasmonic Oligomers Invited Speaker: Mario Hentschel We demonstrate chiral optical response in stacked arrangements of plasmonic nanostructures. We show that three-dimensional arrangements of plasmonic ``meta-atoms'' only exhibit a chiral optical response if similar plasmonic ``atoms'' are arranged in a handed fashion as we require resonant plasmonic coupling. Moreover, we demonstrate that such particle groupings, similarly to molecular systems, possess the capability to encode their three-dimensional arrangement in unique and well-modulated spectra, making them ideal candidates for a three-dimensional chiral plasmon ruler. Furthermore, we discuss the onset of a broadband chiral optical response in the wavelength regime between 700 nm and 3500 nm upon charge transfer between the nanoparticles. We show in experiment and simulation that this response is due to the ohmic contact between adjacent particles which causes a strong red-shift of the fundamental mode. The geometrical shape of the resulting fused particles allows for efficient excitation of higher order modes. Calculated spectra and field distributions confirm our interpretation and show a number of interacting plasmonic modes. Finally, we will discuss plasmonic diastereomers which consist of multiple chiral centers. We find that the chiral optical response of the composite molecules can be traced back to the properties of the constituting building blocks. We demonstrate that the optical response of complex chiral plasmonic systems can be decomposed and understood in terms of fundamental building blocks, offering simple and straightforward design rules for future applications such as chiral optical elements and enantiomer sensors. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:30PM |
C3.00005: TBD Invited Speaker: Xiang Zhang |
Monday, March 18, 2013 5:30PM - 6:06PM |
C3.00006: TBD Invited Speaker: Martin Wegener |
Session C4: Invited Session: Industrial Physics Forum: Frontiers in Nanomanufacturing
Sponsoring Units: FIAPChair: Robert Celotta, National Institute of Standards and Technology
Room: Ballroom IV
Monday, March 18, 2013 2:30PM - 3:06PM |
C4.00001: Frontiers of Nanomanufacturing: An Overview Invited Speaker: James Liddle Nanomanufacturing in its current state encompasses a huge range of materials, products and processes at different levels of maturity and scale. The common thread uniting these disparate activities is that the cost of the methods used in manufacturing - including metrology - must be consistent with both the price the products command and the size of the available market to be economically viable. In this talk I will give examples of how the complexity of the final product and its value dictate what type of nanomanufacturing approach is appropriate, using semiconductor manufacturing as a baseline against which to compare the production of items such as carbon nanocomposites, nanophotonic structures and DNA constructs. In particular, I will describe the need for and progress towards new metrology techniques that can provide nanoscale information, but do so at rates consistent with the high-volume manufacturing of low-cost products. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:42PM |
C4.00002: New Computing Devices and the Drive toward Nanometer-scale Manufacturing Invited Speaker: Thomas Theis In recent decades, we have become used to the idea of exponentially compounding improvements in manufacturing precision. These improvements are driven in large part by the economic imperative to continuously shrink the devices of information technology, particularly the Complementary Metal Oxide Semiconductor (CMOS) field-effect transistor. However, CMOS technology is clearly approaching some important physical limits. Since roughly 2003, the inability to reduce supply voltages according to constant-field scaling rules, combined with economic constraints on areal power density and total power, has forced designers to limit clock frequencies even as devices have continued to shrink. New channel materials, new device structures, and novel circuits cannot fundamentally alter this new status quo. The device physics must change in a more fundamental way if we are to realize fast digital logic with very low power dissipation. The continued vitality of the information technology revolution and the continued push of manufacturing precision toward nanometer dimensions, will depend on it. Fortunately, there is no shortage of new digital switch concepts based on physical principles which avoid the fundamental voltage-scaling limit of the field-effect transistor. The Nanoelectronics Research Initiative (NRI) is a consortium of leading semiconductor companies established in 2005 to guide and fund fundamental research at U.S. universities with the goal of finding the ``next switch'' to replace the CMOS transistor for storing and manipulating digital information. The National Institute of Standards and Technology (NIST) and the National Science Foundation (NSF) have partnered with NRI to fund this research. To date, NRI has funded the exploration of many novel device concepts, and has guided research comparing the capabilities of these devices. Although no single device has yet emerged as a clear winner with the potential to eclipse the field-effect transistor, results are sufficiently promising that member companies have recently renewed their commitment to NRI. Based on the learning to date, a vision for the next five years of research has emerged. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 4:18PM |
C4.00003: Atomic-Scale Electronics Invited Speaker: Michelle Simmons Down-scaling has been the leading paradigm of the semiconductor industry since the invention of the first transistor in 1947. However miniaturization will soon reach the ultimate limit, set by the discreteness of matter, leading to intensified research in alternative approaches for creating logic devices. We will present single atom transistors where we can measure both the charge and spin of individual dopants and discuss long term architectures to exploit their unique characteristics. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:54PM |
C4.00004: Nanoscale construction with DNA Invited Speaker: Shawn Douglas The programmability of DNA makes it an attractive material for constructing intricate nanoscale shapes. One method for creating these structures is DNA origami, in which a multiple-kilobase single-stranded ``scaffold'' is folded into a custom nanoscale shape by interacting with hundreds of short oligonucleotide ``staple'' strands. I will talk about our efforts to realize demand-meeting applications of this method, including our recent development of nanoscale devices to mimic cell-signaling stimulation carried out by our own immune systems. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:30PM |
C4.00005: Manufacturing for Terawatt-Scale Energy Applications Invited Speaker: Harry Atwater Future energy conversion devices will make extensive use of nanostructured materials that must be manufactured at a scale compatible with terawatt-scale deployment. Specifically, future ultrahigh efficiency photovoltaic devices and modules will likely have little in common with today's photovoltaic technology but instead will be essentially complex optical integrated circuits with microscale and nanoscale critical dimensions for efficient optical spectrum splitting, light absorption and carrier transport. The challenge for nanomanufacturing is to realize the fabrication of these sophisticated device architectures with nanoscale features in high-volume low-cost commodity fabrication processes. I will describe examples of practical and scalable approaches to large-scale nanophotonic fabrication using recent advances in the research and commercial development. One example is epitaxial liftoff of thin-film single-crystal Si and III--V compound semiconductor absorbers, and layer-transfer printing techniques for single crystal film assembly of lifted film structures. Another is substrate conformable soft-imprint lithography provides a scalable method for the synthesis of low-cost large-area arrays of nano-patterned light-trapping structures or structures with engineered optical density of states. It is now well established that soft-imprint lithography has a deep-subwavelength resolution, maintained over a large area. Directions for future research and applications to other energy technologies will be surveyed. [Preview Abstract] |
Session C5: Focus Session: Computational Discovery and Design of New Materials for Energy Applications
Sponsoring Units: DMP DCOMPChair: Richard Hennig, Cornell University
Room: 301
Monday, March 18, 2013 2:30PM - 3:06PM |
C5.00001: Computational materials design for energy applications Invited Speaker: Vidvuds Ozolins General adoption of sustainable energy technologies depends on the discovery and development of new high-performance materials. For instance, waste heat recovery and electricity generation via the solar thermal route require bulk thermoelectrics with a high figure of merit ($ZT$) and thermal stability at high-temperatures. Energy recovery applications (e.g., regenerative braking) call for the development of rapidly chargeable systems for electrical energy storage, such as electrochemical supercapacitors. Similarly, use of hydrogen as vehicular fuel depends on the ability to store hydrogen at high volumetric and gravimetric densities, as well as on the ability to extract it at ambient temperatures at sufficiently rapid rates. We will discuss how first-principles computational methods based on quantum mechanics and statistical physics can drive the understanding, improvement and prediction of new energy materials. We will cover prediction and experimental verification of new earth-abundant thermoelectrics, transition metal oxides for electrochemical supercapacitors, and kinetics of mass transport in complex metal hydrides. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C5.00002: Design and synthesis of a crystalline LiPON electrolyte N.A.W. Holzwarth, Keerthi Senevirathne, Cynthia S. Day, Abdessadek Lachgar, Michael D. Gross In the course of a computation study of the broad class of lithium phosphorus oxy-nitride materials of interest for solid electrolyte applications, Du and Holzwarth,{\footnote{Y. A. Du and N. A. W. Holzwarth, {\em{Phys. Rev. B}} {\bf{81}} 184106 (2010)}} recently predicted a stable crystalline material with the stoichiometry Li$_2$PO$_2$N. The present paper reports the experimental preparation of the material using high temperature solid state synthesis and reports the results of experimental and calculational characterization studies. The so-named $SD$-Li$_2$PO$_2$N crystal structure has the orthorhombic space group $Cmc2_1$ with lattice constants a=9.0692(4) \AA, b=5.3999(2) \AA, and c=4.6856(2) \AA. The structure is similar but not identical to the predicted structure, characterized by parallel arrangements of anionic phosphorus oxy-nitride chains having planar P$-$N$-$P$-$N backbones. Nitrogen 2p$\pi$ states contribute to the strong bonding and to the chemical and thermal stablility of the material in air up to 600$^{\circ}$ C and in vacuum up to 1050$^{\circ}$ C. The measured Arrhenius activation energy for ionic conductivity is 0.6 eV which is comparable to computed vacancy migration energies in the presence of a significant population of Li$^{+}$ ion vacancies. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C5.00003: Proton Diffusion Model for High-Throughput Calculations Pandu Wisesa, Tim Mueller Solid oxide fuel cells (SOFCs) have many advantages over other fuel cells with high efficiency, myriad fuel choices, and low cost. The main issue however is the high operating temperature of SOFCs, which can be lowered by using an electrolyte material with high ionic conductivity, such as proton conducting oxides. Our goal is to identify promising proton-conducting materials in a manner that is time and cost efficient through the utilization of high-throughput calculations. We present a model for proton diffusion developed using machine learning techniques with training data that consists of density functional theory (DFT) calculations on various metal oxides. The built model is tested against other DFT results to see how it performs. The results of the DFT calculations and how the model fares are discussed, with focus on hydrogen diffusion pathways inside the bulk material. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C5.00004: Atomistic level description of phase diagram of gas clathrate hydrates with complex gas compositions R. Belosludov, H. Mizuseki, Y. Kawazoe, O. Subbotin, V. Belosludov An approach has been realized that allows us to construct a p-T phase diagrams of various gas hydrates, three-dimensional hydrogen-bonded water structures in which water molecules arrange themselves in a cage-like (host) structure around gas (guest) molecules, with complex gas compositions [1-2]. In order to evaluate the parameters of weak interactions, a TDDFT formalism and LDA technique entirely in real space have been implemented for calculations of vdW dispersion coefficients for atoms within the all-electron mixed-basis approach. The combination of both methods enables one to calculate thermodynamic properties of clathrate hydrates without resorting to any empirical parameter fittings. Using the proposed method it is possible not only confirm the existing experimental data but also predict the unknown region of thermodynamic stability of clathrate hydrates, and also propose the gas storage ability as well as the gas composition for which high-stability region of clathrate hydrates can be achieved. The proposed method is quite general and can be applied to the various non-stoichiometric inclusion compounds with weak guest-host interactions.\\[4pt] [1] R. V. Belosludov et al. J. Chem Phys. 131 (2009) 244510\\[0pt] [2] R. V. Belosludov et al. Mol. Simul. 38 (2012) 773. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 4:18PM |
C5.00005: Materials for Alternative Energies: Computational Materials Discovery and Crystal Structure Prediction Invited Speaker: Chris Wolverton Many of the key technological problems associated with alternative energies may be traced back to the lack of suitable materials. The materials discovery process may be greatly aided by the use of computational methods, particular those atomistic methods based on density functional theory. In this talk, we present an overview of recent work on energy-related materials from density-functional based approaches. We have developed novel computational tools which enable accurate prediction of crystal structures for new materials (using both Monte Carlo and Genetic Algorithm based approaches), materials discovery via high-throughput, data mining techniques, and automated phase diagram calculations. We highlight applications in the area of Li battery materials and hydrogen storage materials. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C5.00006: Multigap Semiconducting ferroelectric perovskites Lai Jiang, Ilya Grinberg, Fenggong Wang, Peter Davies, Andrew Rappe The energy conversion efficiency of a solar cell is directly related to the band gap of the material. By doping ferroelectric perovskites with Bi$^{5+}$ on the $B$-site, we propose low band-gap materials suitable for bulk photovoltaic effect and related solar applications.Our DFT calculations indicate that the low-lying 6$s$ empty states of the electronegative Bi atom produce empty isolated bands in the gap of the parent materials, effectively lowering the band gap by 1$\sim$2eV in various perovskites. Ferroelectricity (and therefore inversion symmetry breaking) weakens but survives upon doping, which enables the ``shift current'' mechanism for photocurrent generation, while the decreased band gap helps absorb low energy photons in the visible range. Furthermore, the existence of multiple band gaps allows for solar conversion devices with efficiency beyond the traditional Shockly-Queisser limit, in which successive photon excitations result in carriers with higher energy than a single-step excitation would achieve. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C5.00007: Search for highly absorbing thin-film photovoltaic absorbers in the system Cu-V-VI from first principles calculations Liping Yu, Robert S. Kokenyesi, Douglas A. Keszler, Alex Zunger To enable high-efficiency solar conversion, thin-film absorbers need to have strong absorption of photons across the solar spectrum. While the CuInSe$_2$-like materials have strong absorption, their measured rise in absorption near the band gap necessitates the use of rather thick films. This thickness, coupled with the relatively low abundance of In, potentially limits the scalability of this technology to the terawatt scale. Here we screen and assess absorption properties of $\sim$40 earth-abundant Cu-V-VI (V = P,As,Sb,Bi) materials, based on the recently proposed selection metric of ``Spectroscopic Limited Maximum Efficiency'' (SLME) [PRL. 108, 068701 (2012)]. This metric depends explicitly on calculated absorption spectra and accounts for different types of optical transitions near the absorption threshold. According to the SLME values calculated from 1st-principles quasiparticle GW theory, we propose five Cu-V-VI candidate thin-film absorber materials that have optical absorption stronger than CuInSe$_2$, which can be ascribed to the enhancement of the density of states near the conduction band maximum. The finding leads to refined design principles in support of the continuing quest for optimal absorber materials. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C5.00008: Accurate surface ionization potentials and electron affinities of semiconductors and insulators, a step toward water splitting predictions Vladan Stevanovic, Stephan Lany, Alex Zunger Design of semiconductors for water splitting requires knowledge of the position of band edges relative to the water redox potential. This can be achieved by predicting materials' ionization potentials (IPs) and electron affinities (EAs). We recently developed a predictive method combining different electronic structure techniques, which is able, as will be demonstrated, to reproduce IPs and EAs of a broad range of materials including standard semiconductors (GaAs, ZnO, CdS,\dots) and transition metal compounds (TiO2, MnO,\dots). Achieved accuracy is within 0.1-0.2 eV from the measured photoemission data. We use GGA(+U) to calculate the electronic structure of bulk systems and their surfaces leading to the alignment of the bulk GGA(+U) band edges with the vacuum. The many-body, quasiparticle GW method is used to calculate shifts of the bulk band edges with respect to the underlying GGA(+U) formalism. Combining GGA(+U) and GW results in accurate IPs and EAs. In the case of transition metal compounds additional external d-potentials are included in the selfconsistent GW cycle to account for the inaccurate position of the transition metal d-orbitals relative to s and p-orbitals, leading to accurate IPs and EAs also in these, for the electronic structure methods problematic, cases. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C5.00009: First principle studies of doping effects on the electronic and geometric structures of graphitic C3N4 Sebastian Zuluaga, Sergey Stolbov Layered carbon nitride g-C3N4 is a promising material as a photo-anode for the H production from water. By doping, the band gap (2.7 eV) can be tuned to the value optimal for efficient absorption of visible light irradiation. We present here our first principle computational study of the effects of doping with B, P and S on the geometric and electronic structures of g-C3N4 and compare them to experimental results. We have evaluated within density functional theory the energetics of various doping scenarios in terms of both thermodynamics and kinetics, and selected the energetically most favorable structures. Our calculations reveal important details of valence charge density redistribution upon the doping. The doping effect on the electronic density of states (DOS), in particular on band gap width, has been evaluated using an accurate GW method. We find the DOS to strongly depend on the doping geometry. The detailed analysis of the projected DOS provides significant insight into the mechanism underlying modification of the electronic structure upon doping. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C5.00010: Stabilizing and enhancing activity of Ag as a catalyst for oxygen redaction reaction on hydrogen fuel cell cathodes Sergey Stolbov, Marisol Alcantara Ortigoza Progress in searching for cost-effective and highly active catalysts for the oxygen reduction reaction (ORR) on hydrogen fuel cell cathodes is hindered by the fact that only a few elements (expensive and scarce Pt, Ir, Au) do not dissolve in the reaction environment (acidic medium at the expected operating potential $+$0.8 to $+$1.0 V vs SHE). Yet, in this work, we explore silver as an active element for the ORR catalysts. Although the dissolution potential (DP) of elemental Ag is 0.8 V, we rely on our finding [1] that binding of a metal monolayer (ML) to a reactive substrate can significantly increase its DP. Using our approach [1], we select Ag/Ru/W, Ag/Nb, and Ag/Ta as promising candidates for the ORR catalysts (where Ag and Ru are MLs). Our evaluation of DP within density functional theory (DFT) shows that, indeed, in the selected structures, DP of Ag significantly increases as compared to that of Ag(111) and, in the case of Ag/Nb, even exceeds that of Pt. The ORR free-energy diagrams calculated within DFT suggest that the above systems are more active toward ORR than Pt. We thus predict here three highly active and truly cost-effective ORR catalysts. [1] S. Stolbov, M. Alcantara Ortigoza, J. Phys. Chem. Letts. 3, 463 (2012). [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C5.00011: Two dimensional N-containing carbon materials for oxygen reduction reaction Yexin Feng, Zhenpeng Hu, Lixin Zhang Seeking Pt replacement catalysts for cathode oxygen reduction reaction (ORR) is very important for the application of some new energy technologies like fuel cells and lithium-air batteries. N-doped graphene and carbon nitride sheets are two kinds of promising materials. For the N-doped graphene, it is found that nitrogen clusters other than isolated substitutionals are the active sites for oxygen reduction. Clusters with three or four N atoms are found to be the most active. Codoping boron (or Fe, Co) can effectively stabilize these high energy clusters while keep the cluster's high activity. For the carbon nitride sheets, in the C:N ratio range of 2.0-3.0, they are stable enough and can potentially catalyze the oxygen reduction as efficiently as Pt. It is revealed that the concentration of nitrogen can tune the Fermi level of the material and thus the catalytic property. The catalytic sites are located at those carbon atoms with special configurations rather than the nitrogen atoms. These results are helpful in designing N-containing carbon materials for ORR. [Preview Abstract] |
Session C6: Focus Session: Hexagonal BN, Graphene, and Graphene Oxide Synthesis II
Sponsoring Units: DMPChair: Saikat Talapatra, Southern Illinois University at Carbondale
Room: 302
Monday, March 18, 2013 2:30PM - 2:42PM |
C6.00001: Growth of Single-Layer Graphene Using Alcohol Catalytic Chemical Vapor Deposition on Cu Substrate Xiao Chen, Pei Zhao, Bo Hou, Erik Einarsson, Shohei Chiashi, Shigeo Maruyama CVD on metal substrates has been proved to be effective in the synthesis of graphene. Compared with commonly used carbon sources methane and ethylene, ethanol is safe and easy to use, thus is advantageous as an alternative graphene growth precursor. Here we report a systematic work of graphene growth on Cu substrate using ethanol precursor. Typically, Cu films were exposed to 100 sccm ethanol flow at 100 Pa and 1000$^{\circ}$C for 20 seconds to yield a continuous single-layer graphene film. Characterization using SEM and Raman spectroscopy indicated that the graphene films were homogeneous and of high quality. We conducted a parametric study to prove that high-quality graphene could be grown when the reaction temperature is 900 to 950$^{\circ}$C and the pressure is lower than 100 Pa. Moreover, graphene growth at lower pressure showed a strong tendency to be self-limiting, resulting in only single-layer graphene even with longer CVD duration (30 min). [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C6.00002: Tailoring Graphene Morphology and Orientation on Cu(100), Cu(110), and Cu(111) Robert Jacobberger, Michael Arnold Graphene CVD on Cu is phenomenologically complex, yielding diverse crystal morphologies, such as lobes, dendrites, stars, and hexagons, of various orientations. We present a comprehensive study of the evolution of these morphologies as a function of Cu surface orientation, pressure, H$_{2}$:CH$_{4}$, and nucleation density. Growth was studied on ultra-smooth, epitaxial Cu films inside Cu enclosures to minimize factors that normally complicate growth. With low H$_{2}$:CH$_{4}$, Mullins-Sekerka instabilities propagate to form dendrites, indicating transport limited growth. In LPCVD, the dendrites extend hundreds of microns in the 100, 111, and 110 directions on Cu(100), (110), and (111) and are perturbed by twin boundaries. In APCVD, multiple preferred dendrite orientations exist. With increasing H$_{2}$:CH$_{4}$, the dendritic nature of growth is suppressed. In LPCVD, square, rectangle, and hexagon crystals form on Cu(100), (110) and (111), reflecting the Cu crystallography. In APCVD, the morphology becomes hexagonal on each surface. If given ample time, every growth regime yields high-quality monolayers with D:G Raman ratio \textless 0.1. The understanding gained here provides a framework to rationally tailor the graphene crystal morphology and orientation. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C6.00003: Van der Waals MBE growth of graphene on dielectric substrates Sheng Wang, Ulrich Wurstbauer, Jorge M. Garcia, Lara Fernandes dos Santos, Lei Wang, Antonio Levy, Jungsik Park, Cory R. Dean, Loren N. Pfeiffer, James Hone, Aron Pinczuk Graphene growth on dielectric substrates has potential to enable new kinds of devices and applications. We explore graphene growth by direct deposition of carbon on different dielectric substrates in a MBE environment. Here we consider h-BN and sapphire substrates. The quality of fabricated graphene layers depends on growth conditions such as carbon deposition rate, substrate temperature and total amount of deposited carbon. Characterizations by spatially resolved Raman spectra and AFM images suggest the formation of high quality graphene. On h-BN substrates, single layer growth occurs as nano-domains. On sapphire, large area growth happens with monolayer thickness fluctuations. These results are consistent with a van der Waals growth mode of graphene on dielectric substrates. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C6.00004: Kink kinetics of graphene growth on Ir(111) Paul C. Rogge, Shu Nie, Kevin F. McCarty, Norman C. Bartelt, Oscar D. Dubon Graphene growth of aligned domains on Ir(111) and Ru(0001) is controlled by the attachment of clusters of carbon adatoms. Here we study the growth of rotational variants on Ir(111) and show that the growth is dependent on both cluster attachment and kink kinetics. We simultaneously measure the growth velocity of individual facets and the local concentration of carbon adatoms. The faceted domains tend to lie along the equilibrium zigzag or armchair direction. As the carbon adatom concentration increases, the facets deviate from their equilibrium orientation. This increases the kink density, allowing faster growth. The kink density is a function of the carbon adatom supersaturation. We will discuss how these findings account for the different growth velocities between aligned and rotated domains. This work was supported by the Director, Office of Science, Office of Basic Energy Sciences, Division of Materials Sciences and Engineering, of the U.S. Department of Energy Contract No. De-Ac04-94AL85000 (SNL). ODD acknowledges support from the NSF (Grant No. DMR-1105541). PCR acknowledges support from a DoD NDSEG fellowship (32 CFR 168a). [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C6.00005: Unoccupied states on Graphene/Cu(111) system Shengmin Zhang, Xuefeng Cui, Cong Wang, Hrvoje Petek Based on the Graphene/Cu(111) system we prepared by the chemical vapor deposition method, we explored the surface states and image potential states by two photon photoemission (2PPE) and angle-resolved photoemission using ultrashort laser pulses. Graphene on the Cu(111) surface can be recognized through 2PPE spectra, based on the theoretical band structure, which showed clear angle dispersions under different wavelength measurements. In addition, the surface state of Cu(111) could also be observed in the 2PPE spectra, and under some certain wavelength, there is a strong resonance of the surface state on Cu(111) and the image potential state on Graphene. With the angle-resolved photoemission, the effective masses of the surface state and image potential state were obtained by simply fitting the dispersion curves. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C6.00006: In situ observation of the graphene domain shape on Ni(111) single crystal films Meifang Li, Jiebing Sun, James Hannon, Rudolf Tromp, Eric Chason Graphene has great potential application in electronics due to its high carrier mobility. Graphene can be grown via chemical vapor deposition (CVD) on many metal surfaces, with Cu and Ni being the most studied. Ni(111) is particularly interesting because graphene is epitaxial and is strongly bound to the substrate. As we show, the epitaxial relationship strongly influences the domain shape. Here we describe in situ LEEM experiments to determine the equilibrium shape of graphene domains grown via dissolution and segregation at elevated temperature. We used a novel ``real space diffraction'' method, coupled with diffracted intensity calculations, to determine the crystallographic orientation of the graphene domains relative to the Ni(111) substrate. We find that the equilibrium shape supports zig-zag edges. However, the domain shape is triangular, rather than hexagonal, indicating that only one type of zig-zag edge is favored. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C6.00007: Electrical Transport Properties of Chemically Reduced Graphene Oxide Thin Films Baleeswaraiah Muchharla, Narayanan Tharangattu Narayanan, Kaushik Balakrishnan, Pulickel Ajayan, Saikat Talapatra We will report on synthesis and electrical characterization of reduced graphene oxide (rGO) obtained from graphene oxide (GO) in ascorbic acid. Electrical transport on thin film devices made from these samples was investigated in a wide range (50 K to 400 K) of temperature. We find that the between the temperature range (150 K to 400 K) these samples show Arrhenius-like temperature dependence. At low temperatures, (50 K to 150 K) variable range hopping (VRH) transport of electrons in a two-dimensional electron system was observed for these samples. The effect of magnetic field on the electrical transport on these materials will presented and discussed. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C6.00008: Electrical Characterization of Graphene Flakes Synthesized Using Liquid Phase Exfoliation of Graphite in Isopropyl Alcohol Saikat Talapatra, Baleeswaraiah Muchharla, Mitchell Connolly, Andrew Winchester, Sujoy Ghosh, Swastik Kar Liquid-phase exfoliation processes for synthesis of nano structures is often a simpler route to get functional nanomaterials in large scale. Here we will report on the synthesis of graphene flakes using exfoliation of bulk graphite in isopropyl alcohol. We will also present electrical characterization of thin film devices made from these exfoliated flakes. Temperature dependence of resistance performed for 10K \textless T \textless 300K shows a slow linear increase in resistance with decrease in temperature. Behavior of thin film devices made from these exfoliated flakes under electrochemical gating environment will be presented and discussed. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C6.00009: Transport properties of high-quality reduced graphene oxide M. Enzelberger, S. Eigler, P. Hoffmann, S. Grimm, A. Hirsch, P. M\"{u}ller Chemical production of graphene, especially reducing graphene oxide has gained a lot of interest in recent years. Yet the transport properties of such materials are usually not compatable to those of graphene. We have found a way to overcome this problem using a modification of the standard Hummer's method. Single flakes of reduced graphene oxide have been investigated. The graphene oxide was deposited onto a SiO$_{2}$/Si substrate and subsequently reduced using hydrogen iodine. The resulting reduced graphene oxide samples were patterned by electron beam lithography. We have characterized the quality of the samples by combining Raman spectroscopy and Hall mobility measurements in magnetic fields up to 14 T and temperatures down to 0.3 K. High-quality samples had a Raman D/G ratio of better than 1 and showed Hall mobilities exceeding 1000 cm$^{2}$/Vs. This is nearly two orders of magnitude higher than what is known for standard reduced graphene oxide. The best samples even show Shubnikov-de Haas oscillations and Hall plateaus. S. Eigler, Christoph Dotzer, Andreas Hirsch, Michael Enzelberger, Paul M\"{u}ller, \textit{Chem. Mater.,}~\textbf{\textit{24}} 1276 (2012) [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C6.00010: Probing Charge Migration in Progressively Reduced Graphene Oxide using Electrostatic Force Microscopy Sibel Ebru Yalcin, Charudatta Galande, Hisato Yamaguchi, Gautam Gupta, Pulickel Ajayan, Andrew Dattelbaum, Stephen Doorn, Aditya Mohite The discovery of graphene and tremendous attention it took in the last few years led to the discovery of graphene oxide (GO) for the large scale production of graphene. GO provides an ideal platform to manipulate and control its chemical structure, optoelectronic properties and ionic conductivity for a wide range of applications. Therefore, it is critical to understand the physical and electrical properties of GO that are highly dependent on the density and nature of functional groups. Here, using electrostatic force microscopy (EFM), we inject charge and directly probe the charge migration as the GO is progressively reduced (RGO). EFM results on GO flakes indicate that the injected charge is completely localized within the plane of GO. However, with the increasing degree of reduction, the injected charge rapidly delocalizes over a few microns until it ends up at the edge of the flakes. The results suggest that as we go from GO to RGO, there are more percolating pathways of sp2 that are formed that act as conduits for charge migration. Our results are consistent with the observed photoluminescence quenching on GO flakes measured as a function of reduction from GO to RGO. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C6.00011: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 4:42PM - 4:54PM |
C6.00012: Probing Interfacial Processes on Graphene Surface by Mass Detection Nurbek Kakenov, Coskun Kocabas In this work we studied the mass density of graphene, probed interfacial processes on graphene surface and examined the formation of graphene oxide by mass detection. The graphene layers were synthesized by chemical vapor deposition method on copper foils and transfer-printed on a quartz crystal microbalance (QCM). The mass density of single layer graphene was measured by investigating the mechanical resonance of the QCM. Moreover, we extended the developed technique to probe the binding dynamics of proteins on the surface of graphene, were able to obtain nonspecific binding constant of BSA protein of graphene surface in aqueous solution. The time trace of resonance signal showed that the BSA molecules rapidly saturated by filling the available binding sites on graphene surface. Furthermore, we monitored oxidation of graphene surface under oxygen plasma by tracing the changes of interfacial mass of the graphene controlled by the shifts in Raman spectra. Three regimes were observed the formation of graphene oxide which increases the interfacial mass, the release of carbon dioxide and the removal of small graphene/graphene oxide flakes. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C6.00013: Evolution of Thermally Annealed Graphitic Amorphous Carbon toward Graphene: Structure, Vibrational Dynamics, Electron Emission and Band Gap JR Dennison, Jodie Gillespie, Sterling Smith Standard structural models of graphitic amorphous carbon (g-C)---a ubiquitous form of disordered carbon present in the production of graphenes, nanotubes, fullerenes, diamond films, and graphite---postulate that g-C is composed primarily of sp$^{2}$-bonded nearly planar rafts with a structural distribution of carbon rings with 4 to 8 atoms. An embedded ring approach is used to model vibrational dynamics for planar disordered materials and determine the structural evolution of thermally annealed g-C, based on fits to Raman spectra of g-C annealed to temperatures ranging from 22 $^{\circ}$C to 1050 $^{\circ}$C. This vibrational model assumes that constituent atoms of a material are arranged in n-membered planar rings embedded in the effective medium, a continuous random network of atoms. From the relative intensities of the different frequency peaks resulting from in-plane normal modes, our procedures provide quantitative ring statistics for the structure of g-C. Unannealed g-C is found to have many 5- and 7-membered rings, but the fraction of 6-membered rings increases with annealing temperature consistent with the known result that g-C evolves to nanocrystalline graphite under high T annealing. The structural model is used to explain measurements of the band gap and electron emission of g-C as it is thermally annealed toward a graphene-like structure. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C6.00014: Gold Decorated Graphene for Rapid Dye Reduction and Efficient Electro Catalytic Oxidation of Ethanol R.S. Sai Siddhardha, Lakshman Kumar V, A. Kaniyoor, R. Podila, V.S. Muthu Kumar, K. Venkataramaniah, S. Ramaprabhu, A. Rao, S.S. Ramamurthy A well known disadvantage in fabrication of metal-graphene composite is the use of surfactants that strongly adsorb on the surface and reduce the performance of the catalyst. Here, we demonstrate a novel one pot synthesis of gold nanoparticles (AuNPs) by laser ablation of gold strip and simultaneous decoration of these on functionalized graphene derivatives. Not only the impregnation of AuNPs was linker free, but also the synthesis by itself was surfactant free. This resulted in \textit{in-situ} decoration of pristine AuNPs on functionalized graphene derivatives. These materials were well characterized and tested for catalytic applications pertaining to dye reduction and electrooxidation. The catalytic reduction rates are 1.4 x 10$^{2}$ and 9.4x10$^{2}$ times faster for Rhodamine B and Methylene Blue dyes respectively, compared to earlier reports. The enhanced rate involves synergistic interplay of electronic relay between AuNPs and the dye, also charge transfer between the graphene system and dye. In addition, the onset potential for ethanol oxidation was found to be more negative $\sim$ 100 mV, an indication of its promising application in direct ethanol fuel cells. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C6.00015: Colloidal Stability of Graphene Oxide Nanosheets in Aqueous Solutions Janice Wynn Guikema, Yung-Li Wang, Kai Loon Chen Carbon-based nanomaterials are increasingly used in commercial products as well as in research and industrial applications. Due to its extraordinary properties, graphene has attracted intense research interest and has been demonstrated in many potential applications including solar cells, conductive ink, and transistors. Graphene oxide has also been studied extensively and has been used to produce biocompatible antibacterial paper. Chemical reduction of graphene oxide is commonly used to produce inexpensive graphene in large quantities. With the increasing use of graphene and graphene oxide in consumer products, these nanomaterials may inevitably be released to aqueous systems, resulting in potential risk to environmental ecosystems and human health. The fate and mobility of graphene and its oxides in aquatic systems is dependent on their colloidal stability. We will discuss our study of the early-stage aggregation kinetics of graphene oxide in aqueous solutions. We prepared a suspension of single-layer graphene oxide nanosheets in water and used time-resolved dynamic light scattering to study the influence of electrolytes and pH on the aggregation kinetics of the nanosheets. Atomic force microscopy was employed to further examine the graphene oxide nanosheets. [Preview Abstract] |
Session C7: Focus Session: Graphene Devices III
Sponsoring Units: DMPChair: Monica Allen, Harvard University
Room: 303
Monday, March 18, 2013 2:30PM - 3:06PM |
C7.00001: Rectification at graphene-semiconductor interfaces Invited Speaker: Arthur Hebard It is now widely recognized that interface between graphene and many semiconductors forms Schottky barriers with rectifying properties. Our work in this area at the University of Florida began in 2009 with our discovery that bulk semimetal graphite when simply pressed against Si, GaAs and 4H-SiC semiconductor substrates readily formed Schottky barriers. Since graphite comprises Bernal-stacked layers of graphene, then the outermost layer, a single sheet of graphene, in contact with the semiconductor plays a major role in the formation of the Schottky barrier. In this talk we follow up on this early work and report on the unusual physics and promising technical applications associated with the formation of Schottky barriers at the interface of graphene and conventional semiconductors. Much of the phenomenology is similar to what is seen with graphite/semiconductor Schottky barriers but with the additional advantages that graphene is flexible, transparent and has a Fermi energy that can be more easily tuned either positively or negatively with respect to the neutrality point by electric fields or chemical doping. Our junctions are fabricated by mechanically transferring chemical vapor deposited graphene onto $n$-type Si, GaAs, 4H-SiC or GaN semiconductor substrates and takes advantage of the strong van der Waals attraction that is accompanied by charge transfer across the interface and the formation of a rectifying (Schottky) barrier. Using current-voltage (I-V), capacitance-voltage (C-V) and Raman measurements we find that thermionic emission theory in conjunction with the Schottky-Mott model within the context of bond-polarization theory provides a surprisingly good description of the electrical properties. We will discuss a number of applications including diode operation to temperatures as high as 550~K, hole doping and associated Fermi level shifts induced by overcoating the graphene with a transparent layer of polymer (TFSA), and demonstration of solar cells with power conversion efficiencies approaching 9{\%}. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C7.00002: Surface functionalization on graphene through chlorination Xu Zhang, Yi Song, Allen Hsu, Ki Kang Kim, Jing Kong, Mildred Dresselhaus, Tomas Palacios Since graphene is an all-surface material, surface functionalization provides effective methods to engineer its electronic properties. Here, we demonstrate that exposure of graphene devices to chlorine plasma in an electron cyclotron resonance (ECR) plasma etcher is an effective way to decrease its sheet resistance, engineer its C/Cl ratio and control the interaction between chlorine and carbon atoms.. First, conductivity of chlorinated graphene increases, due to the hole doping induced by the chlorine plasma. This is further confirmed by the Hall-effect measurements: the hole concentration increased from about 5 $\times$ 10$^{12}$ cm$^{-2}$ to around 1.3 $\times$ 10$^{13}$ cm$^{-2}$. Meanwhile, mobility decreases from about 2500 cm$^{2}$/Vs to 1000 cm$^{\mathrm{2}}$/Vs, which is still very attractive compared to strained silicon films. The sheet resistance of graphene also decreases, which is an overall result of the competition between the decreased mobility and the increased carrier concentration. Raman spectrum analysis on chlorinated graphene samples treated under different RF bias indicated that the interaction between graphene and chlorine underwent three different scenarios under different RF bias conditions: van der Waals bonding, covalent bonding and defects creation. Finally, by tuning the RF bias and treatment time, we can control the C/Cl ratio effectively. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C7.00003: Theory of nanoscale friction on chemically modified graphene Jae-Hyeon Ko, Yong-Hyun Kim Recently, it is known from FFM experiments that friction force on graphene is significantly increased by chemical modification such as hydrogenation, oxidization, and fluorination, whereas adhesion properties are altered marginally [1]. A novel nanotribological theory on two-dimensional materials is proposed on the basis of experimental results and first-principles density-functional theory (DFT) calculations. The proposed theory indicates that the total lateral stiffness that is the proportional constant of friction force is mostly associated with the out-of-plane bending stiffness of two-dimensional materials. This contrasts to the case of three-dimensional materials, in which the shear strength of materials determines nanoscale friction. We will discuss details of DFT calculations and how to generalize the current theory to three dimensional materials. [1] S. Kwon, J.-H. Ko, K.-J. Jeon, Y.-H. Kim and J. Y. Park, Nano Lett., dx.doi.org/10.1021/nl204019k (2012). [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C7.00004: Watering Graphene for Devices and Electricity Wanlin Guo, Jun Yin, Xuemei Li, Zhuhua Zhang Graphene bring us into a fantastic two-dimensional (2D) age of nanotechnology, which can be fabricated and applied at wafer scale, visible at single layer but showing exceptional properties distinguished from its bulk form graphite, linking the properties of atomic layers with the engineering scale of our mankind. We shown that flow-induced-voltage in graphene can be 20 folds higher than in graphite, not only due to the giant Seebeck coefficient of single layer graphene, but also the exceptional interlayer interaction in few layer graphene. Extremely excitingly, water flow over graphene can generate electricity through unexpected interaction of the ions in the water with the graphene. We also find extraordinary mechanical-electric-magnetic coupling effects in graphene and BN systems. Such extraordinary multifield coupling effects in graphene and functional nanosystems open up new vistas in nanotechnology for efficient energy conversion, self-powering flexible devices and novel functional systems. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C7.00005: High efficiency graphene solar cell by chemical doping Xiaochang Miao, Sefaattin Tongay, Maureen K. Pettterson, Kara Berke, Andrew G. Rinzler, Bill R. Appleton, Arthur F. Hebard We demonstrate single layer graphene/n-Si Schottky junction solar cells that under AM1.5 illumination exhibit a power conversion efficiency (PCE) of 8.6{\%}. This performance, achieved by doping the graphene with bis(trifluoromethanesulfonyl)amide (TFSA), exceeds the native (undoped) device performance by a factor of 4.5 and is the highest PCE reported for graphene-based solar cells to date. Current--voltage, capacitance--voltage, and external quantum efficiency measurements show the enhancement to be due to the doping-induced shift in the graphene chemical potential that increases the graphene carrier density (decreasing the cell series resistance) and increases the cell's built-in potential (increasing the open circuit voltage) both of which improve the solar cell fill factor. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C7.00006: Novel highly conductive graphene-based materials Monica Craciun, Ivan Khrapach, Thomas Bointon, Freddie Withers, Dmitry Polyushkin, William Barnes, Saverio Russo The development of future flexible and transparent electronics relies on novel materials, which are mechanically flexible, lightweight and low-cost, in addition to being electrically conductive and optically transparent. Currently, tin doped indium oxide (ITO) is the most wide spread transparent conductor in consumer electronics. The mechanical rigidity of this material limits its use for future flexible electronic applications. We report novel graphene-based transparent conductors obtained by intercalating few-layer graphene (FLG) with ferric chloride (FeCl3). Through a combined study of electrical transport and optical transmission measurements we demonstrate that FeCl3 enhances the electrical conductivity of FLG by two orders of magnitude while leaving these materials highly transparent [1]. We find that the optical transmittance in the visible range of FeCl3-FLG is typically between 88{\%} and 84{\%}, whereas the resistivity is as low as 8.8 $\Omega $. These parameters outperform the best values found in ITO (i.e. resistivity of 10 $\Omega $ at an optical transmittance of 85{\%}), making therefore FeCl3-FLG the best candidate for flexible and transparent electronics. \\[4pt] [1] I. Khrapach, F. Withers, T. H. Bointon, D. K. Pplyushkin, W. L. Barnes, S. Russo, M. F. Craciun, Adv. Mater. 24, 2844 (2012). [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C7.00007: Catalytic activity of transition metal-N$_4$ moieties in graphene toward the oxygen reduction reaction: A DFT study Walter Orellana The search for non-precious metal cathode catalysts for the oxygen reduction reaction (ORR) that replace platinum in proton exchange membrane fuel cells is one of the main challenges toward the use of hydrogen as clean energy for transportation. Most current works on ORR catalysts focuses on N-coordinated iron in a carbon matrix. Although the nature of the active site is still a mystery, different carbon-supported Fe-N$_x$ active sites have been proposed. In this work, The O$_2$ dissociation after the interaction with the metal center of M-N$_4$ moieties in graphene (with M = Mn, Fe, and Co) are addressed by density functional theory calculations. Both, saddle points and minimum energy paths for the ORR in the allowed spin channels have been identified. Our results show that the Mn-N$_4$ center in graphene exhibits the lowest activation barrier in all spin channel, less than 1 eV, suggesting improved ORR activity, while for Fe-N$_4$ and Co-N$_4$ they range between 1.2 and 1.6 eV. Our calculations suggest that the O$_2$ dissociation would proceed through different spin channel which would increase the reaction rate, particularly for Mn-O$_2$ and Fe-O$_2$ moieties. We also investigate energetically favorable routes to incorporate the M-N$_4$ centers in graphene. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C7.00008: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 4:30PM - 4:42PM |
C7.00009: Simulating DNA sequencing using graphene nanopores: a QM/MM Nonequilibrium Green's function approach Alexandre Rocha, Gustavo Troiano, Maur\'Icio Coutinho-Neto, Ralph Scheicher Graphene is both the thinnest possible membrane and presents exceptional electronic transport properties. This combination could pave the way for applications in devices where high selectivity single molecule detection is required, for example for sequencing DNA. In this work we will present theoretical electronic transport calculations of a possible DNA sequencing device based on graphene nanopores. We consider both single and double layer graphene. The simulations were performed using a QM/MM method which allows us to treat the graphene sheet containing the nanopore and a segment of DNA within the pore via ab initio density functional theory (QM) whereas the effects of the water molecules, the counter-ions and the remainder of the DNA strand are taken into consideration using a classical potential (MM), in such a way that thousands of atoms can be taken into consideration. The arrangement is allowed to evolve in order to sample the configuration space of different basis, and the electronic transport properties along graphene - from a sample of the frames - are subsequently calculated using non-equilibrium Green's functions taking into consideration the solvent effects in the simulation. The effects of the solvent, counter ions and of different stacked basis will be discussed. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C7.00010: Graphene Nanopores for Single-Molecule DNA Sequencing Aaron Kuan, David Hoogerheide, Ping Xie, Daniel Branton, Jene Golovchenko We fabricate a nanopore in a suspended single-layer graphene membrane, which serves as a barrier between two aqueous DNA reservoirs. This nanopore device can detect the electrophoretic passage of single or double stranded DNA through transient ionic current blockades caused by DNA obstruction of the pore. Furthermore, a graphene pore, which has atomic thickness, should allow discrimination of different DNA base pairs by ionic current measurements alone. This base discrimination can become the basis of a single-molecule, ultrafast DNA sequencing scheme. We demonstrate the fabrication and evaluate the performance of these graphene nanopore devices. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C7.00011: Scalable Arrays of DNA-decorated Graphene Chemical Vapor Sensors Nicholas Kybert, Gang Hee Han, Mitchell Lerner, A.T. Charlie Johnson Chemical vapor sensors based on biomolecular functionalization of graphene field effect transistor arrays are demonstrated. Novel photolithographic methods were developed to fabricate high quality transistors from CVD-grown graphene. Atomic Force Microscopy was used to verify that the graphene surface remained uncontaminated and was thus suitable for controlled chemical functionalization. Single-stranded DNA was chosen as the functionalizing biomolecule due to its affinity to a wide range of target molecules as well as its $\pi $-$\pi $ stacking interaction with graphene, which allowed functionalization with minimal impact on the transistor mobility. The resulting sensor arrays showed analyte and DNA sequence dependent responses down to parts-per-billion level concentrations. By using large arrays of differently functionalized devices, we distinguished chemically similar analytes and determined electronic signatures indicative of their presence. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C7.00012: Graphene Nanopres for DNA Fingerprinting Towfiq Ahmed, Alexander V. Balatsky, J.T. Haraldsen, Ivan K. Schuller, M. Di Ventra, K.T. Wikfeldt The recent progress in nanopore experiments with transverse current is important for the development of fast, accurate and cheap finger-printing techniques for single nucleotide. Despite its enormous potential for the next generation DNA sequencing technology, the presence of large noise in the temporal spectrum of transverse current remains a big challenge for getting highly accurate interpretation of data. In this paper we present our {\it abinitio} calculations, and propose graphene based device for DNA fingerprinting. We calculate transmission current through graphene for each DNA base (A,C,G,T). As shown in our work, a proper time-series analysis of a signal provides a higher quality information in identifying single bio-molecule is translocating through the nanopores. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C7.00013: Electrochemistry of Graphene Edge Embedded Nanopores Shouvik Banerjee, Jiwook Shim, Jose Rivera, Xiaozhong Jin, David Estrada, Vita Solovyeva, Xiuque You, James Pak, Eric Pop, Narayana Aluru, Rashid Bashir We demonstrate a stacked graphene- Al$_{2}$O$_{3}$ dielectric nanopore architecture to investigate electrochemical activity at graphene edges. It has proven to be difficult to isolate electrochemical activity at the graphene edges from those at the basal planes [1]. We use 24 nm of Al$_{2}$O$_{3}$ to isolate the graphene basal planes from an ionic fluid environment. Nanopores ranging from 5 to 20 nm are formed by an electron beam sculpting process to expose graphene edges. Electrochemical measurements at isolated graphene edges show current densities as high as 1.2 x 10$^{4}$ A/cm$^{2}$, 300x greater than those reported for carbon nanotubes [2]. Additionally, we modulate nanopore conductance by tuning the graphene edge electrochemical current as a function of the applied bias on the embedded graphene electrode. Our results indicate that electrochemical devices based on graphene nanopores have promising applications as sensitive chemical and biological sensors, energy storage devices, and DNA sequencing.\\[4pt] [1] Ambrosi, \textit{et al.}, Nanoscale \textbf{3}, 2256 (2011);\\[0pt] [2] J. Britto, \textit{et al.}, Adv. Mater. \textbf{11}, 154 (1999) [Preview Abstract] |
Session C8: Complex Structured Materials: Transport and Optical Characterization of Dichalcogenides
Sponsoring Units: DMPChair: Nuh Gedik, Massachusetts Institute of Technology
Room: 307
Monday, March 18, 2013 2:30PM - 2:42PM |
C8.00001: Transport Measurements of Multi-terminal MoS2 Devices Y. Yang, H.O.H. Churchill, B.W.H. Baugher, P. Jarillo-Herrero We report progress on the fabrication and measurement of multi-terminal devices based on few-layer MoS2. By using different contact metal recipes, we describe efforts to significantly decrease contact resistance and gain access to the intrinsic transport properties of MoS2. We measured four-terminal resistance of monolayer, bilayer, and trilayer MoS2 with Ohmic contacts to obtain the intrinsic field-effect mobility of these materials on SiO2 substrates at temperatures down to 4 K. We also probed Hall transport of MoS2 and extracted the temperature dependence of its Hall mobility. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C8.00002: High mobility ambipolar MoS$_{2}$ field-effect transistors Wenzhong Bao, Xinghan Cai, Do Hun Kim, Michael Fuhrer Unlike graphene, single and multilayer MoS2 have a 1-1.8eV band gap, which makes MoS2 an promising candidate for future semiconducting industry. However many groups have observed poor charge carrier mobility for thin MoS$_{2}$ crystals deposited on silicon dioxide substrates. Here we report on MoS$_{2}$ field effect transistors on SiO$_{2}$ and on polymethyl methacrylate (PMMA) dielectric. We measure the conductivity in a four-probe configuration as a funcation of carrier density controlled by the back gate electrode. For multilayer MoS$_{2}$ on SiO$_{2}$, the mobility is on order 10-60 cm$^{2}$/Vs, and independent of thickness (5-80 nm), and most devices exhibit unipolar n-type behavior. In contrast, multilayer MoS$_{2}$ on PMMA shows mobility increasing with thickness, up to 500cm$^{2}$/Vs (electrons) and 400 cm$^{2}$/Vs (holes) at thickness $\sim$50 nm. We observe activated temperature dependence of the resistance consistent with optical phonon scattering-limited resistance in the highest mobility devices. The dependence of the mobility on thickness for thicknesses up to 70 nm is unexpected, and points to a long-range dielectric effect of the bulk MoS$_{2}$ in increasing mobility. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C8.00003: The effect of the dielectric environment on electrical and optical properties of monolayer molybdenum disulfide Dhiraj Prasai, Alex Wynn, A.K.M. Newaz, Kirill Bolotin Monolayer molybdenum disulfide (MoS$_{2})$ is a two-dimensional atomic crystal characterized by a direct band gap, strong electron-electron and spin-orbit interactions. Electron transport in currently available monolayer MoS$_{2}$ devices is dominated by strong Coulomb scattering limiting carrier mobility to \textless\ 200 cm$^{2}$/Vs. Here, we explore possible routes towards increasing carrier mobility in MoS$_{2}$. First, we investigate suspended ($\sim$200nm above Si/SiO$_{2})$ monolayer MoS$_{2}$ devices by combining electron beam lithography and an isotropic sacrificial etching of the underlying substrate. Second, we explore the mobility of MoS$_{2}$ devices fabricated on highly uniform hexagonal boron nitride (h-BN) crystals as a substrate material. Initial results indicate an order of magnitude increase in the electrical mobility using both approaches. Finally, we study MoS$_{2}$ devices embedded in a dielectric material with high dielectric constant and explore the interrelation between carrier mobility and dielectric constant. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C8.00004: Phonon Softening and Bandgap Engineering in Strained Monolayer MoS$_2$ Hiram Conley, Kirill Bolotin By straining monolayer MoS$_2$ with a 4 point bending apparatus, both phonon softening and a shrinking band gap were observed. Raman spectrum demonstrates phonon softening for both bi and single layer MoS$_2$ flakes, with a breaking of the E$^1_{2g}$ degeneracy at large strain. Photoluminescence data shows that the band gap of single layer MoS$_2$ decreases by ~50 meV per \% strain. The direct band gap of bilayer MoS$_2$ decreases by the same rate as for monolayer MoS$_2$ while the indirect band gap of bilayer MoS$_2$ decrease by ~120 meV \% strain. This work clearly demonstrates that MoS$_2$'s band gap and phonons are tunable by strain engineering suggesting a possibility of devices with mechanically tunable optical and electrical properties. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C8.00005: Electrical Control of Optical Properties of a Two Dimensional Material, Monolayer Molybdenum Disulfide (MoS$_2$) A.K.M. Newaz, D. Prasai, J.I. Ziegler, D. Caudel, S. Robinson, R.F. Haglund, K.I. Bolotin Materials with electrically controllable optical properties are long sought for uses in diverse applications ranging from electro-optical modulators to display screens. Here we demonstrate electrical control of photoluminescence quantum yield and absorption coefficient in the visible range for a different two-dimensional crystal, monolayer molybdenum disulfide (MoS$_2$). We investigate electrical gating of photoluminescence and optical absorption in monolayer MoS$_2$ configured in field effect transistor geometry. We observe an hundredfold increase in photoluminescence intensity and an increase in absorption at $\sim 660$ nm in these devices when an external gate voltage is decreased from +50 V to -50 V, while the photoluminescence wavelength remains nearly constant. In contrast, in bilayer MoS$_2$ devices we observe almost no changes in photoluminescence with gate voltage. We propose that the differing responses of the monolayer and bilayer devices are related to the interaction of the excitons in MoS$_2$ with charge carriers. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C8.00006: Excited-state interactions in monolayer MoS$_{2}$/graphene heterostructures Cyrielle Roquelet, Heather Hill, Arend van der Zande, Fan Zhang, James Hone, Louis E. Brus, Tony F. Heinz Recent progress in the formation of atomically thin 2-dimensional crystals by mechanical exfoliation and other synthetic techniques has led to the availability and study of various 2D materials other than graphene. Among them, molybdenum disulfide (MoS$_{2})$ has attracted particular attention. Although an indirect-gap material in the bulk, MoS$_{2}$ exhibits a direct gap in its monolayer form. Correspondingly, the material exhibits strong photoluminescence (PL), very sensitive to the environment. With the development of transfer techniques, it is now possible to create stacks of differing atomically thin materials. In this paper we apply this to investigate the influence of adjacent graphene layers on the PL of MoS$_{2}$ monolayers. Comparing the PL from MoS$_{2}$ on graphene with reference samples, we find that graphene induces strong quenching. Raman measurements of the graphene do not indicate the presence of any significant static charge transfer between layers. This suggests that the graphene layer provides efficient relaxation channels for the photoexcited MoS$_{2}$, rather than modifying its intrinsic properties. In this context, we discuss the relative contributions to PL quenching arising from excited-state charge and energy transfer processes. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C8.00007: Ultrafast laser spectroscopy of exciton dynamics in CVD-grown monolayer MoS$_2$ Edbert Jarvis Sie, Yihua Wang, Yi-Hsien Lee, Jing Kong, Nuh Gedik Recently, much effort has been devoted to the spin-valley interplay in exfoliated monolayer MoS$_2$, yet the many-body interactions in this material are largely unexplored. In fact, monolayer MoS$_2$ offers a special platform in the study of many-body effects owing to its 2D nature with a large band gap and a giant exciton binding energy. Here, we use ultrafast laser spectroscopy to study the exciton dynamics of CVD-grown monolayer MoS$_2$. We observed a strongly non-linear fluence dependent behavior which indicates presence of many-body interactions in this material. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C8.00008: MoS$_{2}$ Field Effect Transistors with different polarity: study of electrode work functions Isha Dube, Anthony K. Boyd, Marcio Fontana, Igor Gayduchenko, Georgy Fedorov, Amy Liu, Makarand Paranjape, Paola Barbara The transfer characteristics of Molybdenum disulfide (MoS$_{2})$ field effect transistors (FETs) depend on the Schottky barrier formed between the metal electrode and the semiconducting MoS$_{2}$. We obtained p-type behavior for Pd-contacted MoS$_{2}$ FETs and n-type with both Au and Nb [1] contacts. We study the work function of these electrode metals to understand their effect on the Schottky barrier and therefore the polarity of the MoS$_{2}$ FETs. The work function of the above metals is measured using a non-contact Kelvin Probe technique under different ambient conditions. We will discuss the observed n-type and p-type behavior of MoS$_{2}$ FETs in relation to the measured metal work functions.\\[4pt] [1] M. Fontana, T. Deppe, A. Boyd, M. Rinzan, A. Liu, M. Paranjape, P. Barbara, Photovoltaic effect in gated MoS2 Schottky junctions, in, arXiv:1206.6125v1 [cond-mat.mtrl-sci] [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C8.00009: Electronic Transport through Grain Boundaries in Monolayer Molybdenum Disulfide Grown by Chemical Vapor Deposition Daniel Chenet, Arend van der Zande, Pinshane Huang, Yumeng You, Timothy Berkelbach, Gwan-Hyoung Lee, David Reichman, David Muller, Tony Heinz, James Hone Monolayer molybdenum disulfide is a new direct bandgap semiconductor that has recently received significant attention for its potential utility in two-dimensional electronics. Recent advances in the large-area synthesis of this material by chemical vapor deposition are accelerating the device concept to realization process. However, little is currently known about the effect of growth defects on electronic transport in this material. Here, we have optimized the synthesis process to grow large single crystals up to 120 $\mu $m in size with electrical and optical properties comparable or superior to that of exfoliated samples. When these single crystals grow together to form large continuous sheets, the inevitable consequence is the formation of grain boundaries that should have different electrical properties than the bulk. With our ability to rapidly identify well-faceted single crystals and the boundaries between them by optical microscopy, we fabricate field effect transistors to measure the effects of individual grain boundaries on channel conductivity and mobility. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C8.00010: Electron-hole transport and photovoltaic effect in gated MoS$_{2}$ Schottky junctions Anthony Boyd, Marcio Fontana, Tristan Deppe, Mohamed Rinzan, Amy Liu, Makarand Paranjape, Paola Barbara Atomically thin molybdenum disulfide has emerged as an attractive material for novel nanoscale optoelectronic devices due to its reduced dimensionality and large direct bandgap. Since optoelectronic devices require electron-hole generation/recombination, it is important to be able to fabricate ambipolar transistors to investigate charge transport both in the conduction band and in the valence band. Although $n$-type transistor operation for single-layer and few-layer MoS$_{2}$ with gold source and drain contacts was recently demonstrated..., transport in the valence band has been elusive for solid-state devices. Here we show that a multi-layer MoS$_{2}$ channel can be hole-doped by palladium contacts, yielding MoS$_{2}$ $p$-type transistors [1]. When two different materials are used for the source and drain contacts, for example hole-doping Pd and electron-doping Au, the Schottky junctions formed at the MoS$_{2}$ contacts produce a clear photovoltaic effect [1]. \\[4pt] [1] M. Fontana, T. Deppe, A. Boyd, M. Rinzan, A. Liu, M. Paranjape, and P. Barbara, \textit{Photovoltaic effect in gated MoS}$_{2}$ \textit{Schottky junctions}, in, arXiv:1206.6125v1 [cond-mat.mtrl-sci] [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C8.00011: Electrical control of truly two-dimensional neutral and charged excitons in monolayer MoSe$_2$ Jason Ross, Sanfeng Wu, Hongyi Yu, Nirmal Ghimire, Aaron Jones, Grant Aivazian, Jiaqiang Yan, David Mandrus, Di Xiao, Di Xiao, Xiaodong Xu Monolayer transition metal dichalcogenides (TMDs) have emerged as ideal 2D semiconductors with valley and spin polarized excitations expected to enable true valley-tronics. Here we investigate MoSe$_{2}$, a TMD which has yet to be characterized in the monolayer limit. Specifically, we examine excitons and trions (their singly charged counterparts) in the ultimate 2D limit. Utilizing high quality exfoliated MoSe$_{2}$ monolayers, we report the observation and electrostatic tunability of positively charged (X$+)$, neutral (Xo), and negatively charged (X-) excitons via photoluminescence in FETs. The trion charging energy is large (30 meV), enhanced by strong confinement and heavy effective masses, while the linewidth is narrow (5 meV) at temperatures below 55 K. This is greater spectral contrast than in any known quasi-2D system. Further, the charging energies for X$+$ and X- to are nearly identical implying the same effective mass for electrons and holes, which supports their recent description as massive Dirac fermions. This work demonstrates that monolayer MoSe$_{2}$ is an ultimate 2D semiconductor opening the door for the investigation of truly 2D exciton physics while laying the ground work necessary to begin valley-spin polarization studies. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C8.00012: The influence of composition and mechanical strain on the optoelectronic properties of transition-metal dichalcogenide monolayers Ashwin Ramasubramaniam Single and few-layer transition-metal dichalcogenides (TMDs) are of significant current interest for nanoscale optoelectronic applications. While these materials have been well characterized in their bulk form, a comprehensive understanding of their properties at the nanoscale is still emerging. We present studies of the quasiparticle band structures and optical properties of MoS$_{\mathrm{2}}$, MoSe$_{\mathrm{2}}$, MoTe$_{\mathrm{2}}$, WS$_{\mathrm{2}}$, and WSe$_{\mathrm{2}}$ monolayers using the GW approximation in conjunction with the Bethe-Salpeter equation (BSE). The inclusion of two-particle excitations in the BSE approach reveals the presence of two strongly bound excitons (A and B) below the quasiparticle absorption onset arising from vertical transitions between a spin-orbit-split valence band and the conduction band. The transition energies for monolayer MoS$_{\mathrm{2}}$, in particular, are shown to be in excellent agreement with available experiments. Excitation energies for the remaining monolayers are predicted to lie in the range of 1--2 eV. Systematic trends are identified for band gaps, transition energies, and exciton binding energies within as well as across the Mo and W families of dichalcogenides. Finally, we study the influence of homogeneous strains on the optoelectronic properties of TMD monolayers and demonstrate the potential for facile tuning of electronic and optical band gaps. Overall, the results suggest that quantum confinement of carriers within monolayers can be exploited in conjunction with chemical composition and mechanical strains to widely tune the optoelectronic properties of TMDs at the nanoscale. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C8.00013: Photoluminescence mapping of grain boundaries in CVD-grown MoS$_{2}$ monolayers YuMeng You, Arend van der Zande, Daniel Chenet, Pinshane Huang, James Hone, David Muller, Tony Heinz Monolayer MoS$_{2}$ is an atomically thin 2-D material with a direct energy gap. Recently, rapid progress has been made in the growth of this material by chemical vapor deposition (CVD). Here we apply photoluminescence (PL) mapping to study monolayer MoS$_{2}$ samples prepared by CVD. For appropriate growth conditions, MoS$_{2}$ monolayers can be grown that exhibit well-defined boundaries between different crystal domains. Using electron microscopy, we have identified boundaries between crystals of different orientation and between mirror-twin crystals. PL mapping has been found to permit the ready identification of both of these boundaries through shifts in the strength and energy of the emission peaks. This sensitivity renders PL imaging a convenient tool for the identification of grain boundaries that remain hidden in conventional optical microscopy. The strong structural modification of material at a grain boundary extends for only around 1 nm. Thus only slight variation in the PL might be anticipated, given the excitation laser spot size of around 500 nm. We will discuss the possible physical origins of the strong contrast observed in the PL maps, including the role of exciton diffusion to the grain boundaries. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C8.00014: Metal Contacts on Semiconducting Two-Dimension Crystals Han Liu, Adam Neal, Yuchen Du, Peide Ye Semiconducting 2-D crystals, such as MoS$_{2}$, WSe$_{2}$, are viewed as promising candidates for electronic applications for their high carrier mobility, thermal stability, compatibility to CMOS process, and superior immunity to short channel effects. However, with the difficulty in ion implantation, the metal contacts on 2-D crystals are yet with large contact resistance, thus eliminates further device performance. We study different metal contacts from low work function to high work function metals on MoS$_{2}$ and WSe$_{2}$ crystals with various thicknesses and discuss the Fermi level pinning at the metal/semiconductor interface. Effective Schottky Barrier Heights (SBHs) are also measured. Molecular doping and dual-side contacts metals are performed as two tentative solutions to reduce the effective SBHs, and high-performance of field effect transistors are achieved by reduced contact resistance. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C8.00015: Electronic properties of bilayer mixtures of WS2 and MoS2 with different stackings Humberto Terrones, Ana Laura Elias, Nestor Perea-Lopez, Humberto R. Gutierrez, Ayse Berkdemir, Andres Castro-Beltran, Ruitao Lv, Florentino Lopez-Urias, Takuya Hayashi, Yoong Ahm Kim, Morinobu Endo, Mauricio Terrones Besides graphene and hexagonal boron nitride, transition metal chalcogenides (TMC) such as MoS2, WS2, NbS2 and WSe2 also exhibit a layered structure in which the layers weakly interact via Van der Waals forces, and for this reason these materials exhibit excellent lubrication properties. For TMC, the layers are formed by the transition metal atom sandwiched by the sulfur atoms. MoS2 and WS2 in bulk are indirect band gap semiconducting materials. However, an isolated sheet of MoS2 or WS2 becomes a direct gap semiconductor. This particular behavior makes them very attractive in terms of optical properties such as spin polarization, in which the lack of center of inversion of one layer plays a crucial role. Therefore, it is important to study the properties of different configurations of WS2 and MoS2 mixtures bi-layer TMC systems with different stackings. First principles calculations are carried out to study how the indirect and the direct gaps behave, thus shedding light in a new type of bi-layered material. [Preview Abstract] |
Session C9: Invited Session: Recent Developments in Density Functional Theory I
Sponsoring Units: DCOMPChair: Timo Thonhauser, Wake Forest University
Room: 308
Monday, March 18, 2013 2:30PM - 3:06PM |
C9.00001: Aneesur Rahman Prize for Computational Physics Lecture: Addressing Dirac's Challenge Invited Speaker: James Chelikowsky After the invention of quantum mechanics, P. A. M. Dirac made the following observation: ``The underlying physical laws necessary for the mathematical theory of a large part of physics and the whole of chemistry are thus completely known, and the difficulty is only that the exact application of these laws leads to equations much too complicated to be soluble. It therefore becomes desirable that {\it approximate practical methods} of applying quantum mechanics should be developed, which can lead to an explanation of the main features of complex atomic systems...'' The creation of ``approximate practical methods" in response to Dirac's challenge has included the one electron picture, density functional theory and the pseudopotential concept. The combination of such methods in conjunction with contemporary computational platforms and new algorithms offer the possibility of predicting properties of materials solely from knowledge of the atomic species present. I will give an overview of progress in this field with an emphasis on materials at the nanoscale. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:42PM |
C9.00002: Recent Progress in Linear Scaling DFT Invited Speaker: David Bowler Linear scaling or O(N) electronic structure codes have been under development for around fifteen years. After an initial explosion of interest, the practical difficulties of implementation and efficiency have led to a slow down in development and applications. In this talk I will present details of recent developments in the massively parallel CONQUEST linear scaling DFT code, and make some comments on the linear scaling field in general. The CONQUEST code is one of the leading O(N) codes, and has demonstrated not only excellent scaling to over two million atoms and many thousands of cores but also practical applications to nanostructures on semiconductor surfaces, and recently to biological systems. I will describe the details of the CONQUEST code, including recent developments in basis functions and parallelisation. I will also discuss recent improvements including constrained DFT, exact exchange and TDDFT, all of which have been implemented with linear scaling.\\[4pt] D. R. Bowler and T. Miyazaki, Rep. Prog. Phys. \textbf{75} 036503 (2012). [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 4:18PM |
C9.00003: Electron response in van der Waals density functionals Invited Speaker: Per Hyldgaard There is significant interest in density functional theory (DFT) of dispersive or van der Waals (vdW) interactions and in DFT studies of sparse systems where vdW forces contribute to the cohesion and behavior. The Rutgers-Chalmers van der Waals density functional (vdW-DF) method [PRL \textbf{92}, 246401 (2004); PRB \textbf{76}, 125112 (2007)] is a nonempirical approach to calculate vdW bonding and for DFT characterizations of sparse matter. The vdW-DF framework is defined by a single exchange-correlation density functional that rests on a plasmon-type description for both semilocal components and for a parameter-free evaluation of nonlocal correlation. My talk summarizes a set of vdW-DF studies that seeks to map and analyze details in the vdW-DF electron-response nature. The purpose is in part to extract consequences that can facilitate an experiment-theory comparison that goes beyond binding geometries and energies. The aim is also to seek implications that can help develop the vdW-DF framework. I present an analysis of the relative importance of morphology, screening (image-plane formation), and collective effects in the vdW-DF description of molecular systems. In addition, I compare vdW-DF results with Cu(111) experiments that tests the electron-response behavior in terms of adsorption-induced band shifts, the form of the overall light-molecule physisorption potential, and the corrugation in the kinetic-energy repulsion of molecules at surfaces. Overall, the vdW-DF studies suggest the importance of benchmarking vdW methods across different length scales and by exploring the variation that arise when related structures have a different balance between exchange repulsion and vdW attraction. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:54PM |
C9.00004: Time-Resolved Dynamics in Time-Dependent Density Functional Theory: Significance of Non-locality in Space and Time Invited Speaker: Neepa Maitra The usual approximations in Time-Dependent Density Functional Theory (TDDFT) have achieved an unprecedented balance between accuracy and efficiency for calculating excitation spectra and response. We show however that these approximations are less successful for time-resolved dynamics beyond the linear response regime. Step and peak structures develop in the exact exchange-correlation potential that have a density-dependence that is non-local both in time and in space, missed by all approximations in use today. The lack of these structures leads to their incorrect predictions of dynamics, such as faster time-scales, and incomplete charge-transfer. [P. Elliott, J.I. Fuks, A. Rubio, N.T. Maitra arXiv:1211.2012; J. I. Fuks, P. Elliott, A. Rubio, N. T. Maitra, arXiv:1211.2849] [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:30PM |
C9.00005: Improving Density Functionals with Quantum Harmonic Oscillators Invited Speaker: Alexandre Tkatchenko Density functional theory (DFT) is the most widely used and successful approach for electronic structure calculations. However, one of the pressing challenges for DFT is developing efficient functionals that can accurately capture the omnipresent long-range electron correlations, which determine the structure and stability of many molecules and materials. Here we show that, under certain conditions, the problem of computing the long-range correlation energy of interacting electrons can be mapped to a system of coupled quantum harmonic oscillators (QHOs). The proposed model allows us to synergistically combine concepts from DFT, quantum chemistry, and the widely discussed random-phase approximation for the correlation energy. In the dipole limit, the interaction energy for a system of coupled QHOs can be calculated exactly, thereby leading to an efficient and accurate model for the many-body dispersion energy of complex molecules and materials. The studied examples include intermolecular binding energies, the conformational hierarchy of DNA structures, the geometry and stability of molecular crystals, and supramolecular host--guest complexes (A. Tkatchenko, R. A. DiStasio Jr., R. Car, M. Scheffler, Phys. Rev. Lett. 108, 236402 (2012); R. A. DiStasio Jr., A. von Lilienfeld, A. Tkatchenko, PNAS 109, 14791 (2012); A. Tkatchenko, D. Alfe, K. S. Kim, J. Chem. Theory and Comp. (2012), doi: 10.1021/ct300711r; A. Tkatchenko, A. Ambrosetti, R. A. DiStasio Jr., arXiv:1210.8343v1). [Preview Abstract] |
Session C10: Invited Session: Stabilization and Dynamics of Magnetic Skyrmions
Sponsoring Units: DCMP GMAGChair: N.P. Onn, Princeton University
Room: 309
Monday, March 18, 2013 2:30PM - 3:06PM |
C10.00001: Emergent Electrodynamics of Skyrmions in Chiral Magnets Invited Speaker: Christian Pfleiderer Skyrmions are particle-like states of continuous fields named after the English particle physicist Tony Skyrme. Their existence has long been considered in nuclear matter, quantum Hall systems, liquid crystals, superfluid $^3$He and ultracold atoms. As their defining property they support a topological winding number of 1. In magnetic materials spin configurations with a non-vanishing topological winding number, driven by the interplay of magnetic anisotropies, dipolar interactions and geometrical frustration, have been known for a long time. This is contrasted by the recent discovery of skyrmion lattices in chiral magnets, i.e., long-range magnetic order in which each magnetic unit cell contains a skyrmion and thus a non-zero winding number. As a practical consequence, the non-zero topological winding number implies that the conduction electrons in the presence of a skyrmion experience changes of Berry phase, that correspond precisely to one quantum of emergent magnetic flux. In transport measurements this leads directly to a topological Hall signal. Moreover, tiny electric current densities are already sufficient to generate a motion of the skyrmions first observed indirectly in neutron scattering. Since each skyrmion supports one quantum of emergent magnetic flux the motion of the skyrmions induces an emergent electric field consistent with Faradays law of induction that may also be observed experimentally. The excellent theoretical description of the skyrmion lattices observed so far in metals, doped semiconductors and insulators suggests that they represent a rather universal phenomenon to be expected in a wide range of systems supporting chiral spin interactions. Taken together with the first insights into their emergent electrodynamics, skyrmion lattices in chiral magnets develop into a new area of condensed matter magnetism offering insights relevant for applications. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:42PM |
C10.00002: Extended skyrmion phase in epitaxial FeGe(111) thin films Invited Speaker: Sunxiang Huang Exotic magnetic skyrmions with a new type of topological spin texture have recently been observed in cubic B20 magnets such as MnSi and FeGe [1]. Skyrmions, with a double-twist spin texture carrying a topological charge and a Berry phase in real space, can form long-range ordered structure or behave as solitons [2]. These magnetic skyrmions not only provide a novel route to study the topological nature of magnetic defects but also exhibit spectacular static and dynamic properties such as translational and rotational motion driven by electric current with ultra-low current density. Unfortunately, the skyrmion phase in bulk crystals exists only in a very small region of a few K and a narrow magnetic field range in the phase space. However, theories and some experiments suggest that the skyrmion phase may be greatly expanded in thin films. In this work, we describe the realization of B20 FeGe thin films with greatly expanded skyrmion phase [3]. FeGe has the highest Curie temperature $T_{C} \approx $ 280 K among the B20 skyrmion materials, but FeGe crystals rarely exceed 1 mm. We have succeeded in the epitaxial growth of FeGe(111) thin films on Si(111). We show that the skyrmion states, as revealed by the topological Hall effect and the small angle neutron scattering (SANS), are stabilized in a dramatically larger region in phase space in FeGe films, including the entire temperature range up to $T_{C}$, and in a large field range. Furthermore, the properties of the skyrmion phase can be controlled and manipulated by the film thickness. Other aspects of the skyrmion states as revealed by transport and neutron measurements will also be discussed. This work is in collaboration with C. L. Chien and C. Broholm at JHU and L. Debeer-Schmitt and K. Littrell at ORNL. \\[4pt] [1] S. M\"{u}hlbauer\textit{ et al.}, Science \textbf{323}, 915 (2009); X. Z. Yu\textit{ et al.}, Nat Mater. 10, 106 (2011).\\[0pt] [2] U. K. R\"{o}{\ss}ler \textit{et al.}, J. Phys.Conf. Ser. 303, 012105 (2011).\\[0pt] [3] S. X. Huang, and C. L. Chien, Phys. Rev. Lett. \textbf{108}, 267201 (2012). [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 4:18PM |
C10.00003: Realization and dynamics of 2D magnetic skyrmions Invited Speaker: Xiuzhen Yu The skyrmion, a vortex-like topological spin texture, can be excited by the external magnetic field (B) in helimagnets [1-6]. The skyrmion lattice was recently confirmed by small-angle scattering neutron observations in a helimagnet MnSi [1] where the skyrmion phase was observed in a narrow window of (T, B)-plane. In contrast with unstable skyrmions in the bulk, by using Lorentz transmission electron microscopy (TEM), we have realized two-dimensional (2D) skyrmion crystal (SkX) over a wider region in (T, B)-plane for thin helimagnets [2-6] which thicknesses are smaller than their helical periods. Furthermore, we have realized the near RT ($\sim$280 K) formation of SkX in a helimagnet FeGe [3]. We have clarified the stability condition for the SkX, i.e. the magnetic-dimension (from 2D to 3D) variation of SkX phase diagram in (T, B)-plane. The skyrmion acts as a magnetic flux owing to its curved spin texture. When an electric current flowing through the skyrmion exceeds a critical current density for depinning, the skyrmion can accept the spin transfer torque to be driven along the current direction. Combining electrical and magnetic control in a microdevice composed of a FeGe thin plate, we have realized nanometric skyrmions under a weak magnetic field (150 mT) and manipulated them with an ultra-low current density ($\sim$ 5 $\times$ 10$^{4}$A/m$^{2})$ [6], several orders lower than that required to drive domain walls in conventional ferromagnets [7]. This work has been done in collaboration with Prof. Y. Tokura, Prof. N. Nagaosa, Dr. Y. Matsui, Prof. Y. Onose, Mr. N. Kanazawa, Dr. K. Kimoto, Dr. T. Hara, Dr. T. Nagai, and Ms. W-Z. Zhang. \\[4pt] [1] S. M\"uhlbauer, \textit{et al}., \textbf{Science} \textbf{323}, 915 (2009)\\[0pt] [2] X.Z. Yu, \textit{et al.}, \textit{Nature} \textbf{465}, 901 (2010)\\[0pt] [3] X.Z. Yu, \textit{et al}., \textit{Nat. Mater. }\textbf{10}, 106 (2011)\\[0pt] [4] S. Seki, \textit{et al}., \textit{Science} \textbf{336}, 198 (2012)\\[0pt] [5] A. Tonomura, \textit{et al}. \textit{Nano Lett.} \textbf{102}, 186602 (2012)\\[0pt] [6] X.Z. Yu, \textit{et al}., \textit{Nat. Commun.}, \textbf{3}:988(2012) \\[0pt] [7] S. Parkin, \textit{et al}., \textit{Science}, \textbf{320}, 190 (2008) [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:54PM |
C10.00004: Beller Lectureship: Dynamics of skyrmions under electric current Invited Speaker: Naoto Nagaosa Current-driven motion of the skyrmions and skyrmion crystal is attracting intense attention because of the very small critical current density, but the microscopic mechanism of their motion is not yet explored. In this talk, I will present a numerical simulation of the Landau-Lifshitz-Gilbert (LLG) equation and an analytic theory, which reveals a remarkably robust and universal current-velocity relation of the skyrmion motion driven by the spin transfer torque unaffected by either impurities or nonadiabatic effect in sharp contrast to the case of domain wall or spin helix. This is due to the peculiar dynamics of skyrmions characterized by inherent absence of the intrinsic pinning and flexible shape-deformation of skyrmions so as to avoid pinning centers. The effect of the constricted geometry will be also discussed. This work has been done in collaboration with J. Iwasaki and M. Mochizuki. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:30PM |
C10.00005: Spontaneous atomic-scale magnetic skyrmion lattice in two dimensions Invited Speaker: Stefan Heinze Skyrmions are topologically protected field configurations with particle-like properties that play an important role in various fields of science. They have been predicted to exist also in bulk magnets and in recent experiments it was shown that they can be induced by a magnetic field. A key ingredient for their occurrence is the Dzyaloshinskii-Moriya interaction (DMI) which was found to be strong also for magnetic nanostructures on substrates with large spin-orbit coupling [1]. In these systems the DMI stabilizes spin-spirals with a unique rotational sense propagating along one direction of the surface as observed for ultrathin films [1-3] and atomic chains [4]. Here, we go a step beyond and present an atomic-scale skyrmion lattice as the magnetic ground state of a hexagonal Fe monolayer on Ir(111) [5]. We develop a spin-model based on density functional theory that explains the interplay of Heisenberg exchange, DMI and the four-spin exchange as the microscopic origin of this intriguing magnetic state. Experiments using spin-polarized scanning tunneling microscopy confirm the skyrmion lattice which is incommensurate with the underlying atomic lattice. This work is a collaboration with G. Bihlmayer, S. Bl\"ugel, K. von Bergmann, M. Menzel, A. Kubetzka, J. Brede, and R. Wiesendanger. \\[4pt] [1] M. Bode et al., Nature 447, 190 (2007). \\[0pt] [2] P. Ferriani et al., Phys. Rev. Lett. 101, 027201 (2008).\\[0pt] [3] Y. Yoshida et al., Phys. Rev. Lett. 108, 087205 (2012).\\[0pt] [4] M. Menzel el al., Phys. Rev. Lett. 108, 197204 (2012).\\[0pt] [5] S. Heinze et al., Nature Phys. 7, 713 (2011). [Preview Abstract] |
Session C11: Invited Session: Quantum Communication and Cryptography
Sponsoring Units: GQI DAMOPChair: Mark Wilde, McGill University and Louisiana State University
Room: 310
Monday, March 18, 2013 2:30PM - 3:06PM |
C11.00001: Limits on classical communication from quantum entropy power inequalities Invited Speaker: Graeme Smith Almost all modern communication systems rely on electromagnetic fields as a means of information transmission, and finding the capacities of these systems is a problem of significant practical importance. The Additive White Gaussian Noise (AWGN) channel is often a good approximate description of such systems, and its capacity is given by a simple formula. However, when quantum effects are important, estimating the capacity becomes difficult: a lower bound is known, but a similar upper bound is missing. Here we present strong new upper bounds for the classical capacity of quantum additive noise channels, including quantum analogues of the AWGN channel. Our main technical tool is a quantum entropy power inequality that controls the entropy production as two quantum signals combine at a beam splitter. Its proof involves a new connection between entropy production rates and a quantum Fisher information, and uses a quantum diffusion that smooths arbitrary states towards gaussians. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:42PM |
C11.00002: Security of continuous-variable quantum key distribution against general attacks Invited Speaker: Anthony Leverrier We prove the security of Gaussian continuous-variable quantum key distribution with coherent states against arbitrary attacks in the finite-size regime. In contrast to previously known proofs of principle (based on the de Finetti theorem), our result is applicable in the practically relevant finite-size regime. This is achieved using a novel proof approach, which exploits phase-space symmetries of the protocols as well as the postselection technique introduced by Christandl, Koenig and Renner (\emph{Phys.\ Rev.\ Lett.}\ 102, 020504 (2009)). [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 4:18PM |
C11.00003: Fully device-independent quantum key distribution Invited Speaker: Thomas Vidick The laws of quantum mechanics allow unconditionally secure key distribution protocols. Nevertheless, security proofs of traditional quantum key distribution (QKD) protocols rely on a crucial assumption, the trustworthiness of the quantum devices used in the protocol. In device-independent QKD, even this last assumption is relaxed: the devices used in the protocol may have been adversarially prepared, and there is no a priori guarantee that they perform according to specification. Proving security in this setting had been a central open problem in quantum cryptography. We give the first device-independent proof of security of a protocol for quantum key distribution that guarantees the extraction of a linear amount of key even when the devices are subject to a constant rate of noise. Our only assumptions are that the laboratories in which each party holds his or her own device are spatially isolated, and that both devices, as well as the eavesdropper, are bound by the laws of quantum mechanics. All previous proofs of security relied either on the use of many independent pairs of devices, or on the absence of noise. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:54PM |
C11.00004: Quantum hacking Invited Speaker: Vadim Makarov - [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:30PM |
C11.00005: Complete experimental toolbox for alignment-free quantum communication Invited Speaker: Fabio Sciarrino Quantum communication employs the counter-intuitive features of quantum physics for tasks that are impossible in the classical world. It is crucial for testing the foundations of quantum theory and promises to revolutionize information and communication technologies. However, to execute even the simplest quantum transmission, one must establish, and maintain, a shared reference frame. This introduces a considerable overhead in resources, particularly if the parties are in motion or rotating relative to each other. We experimentally show how to circumvent this problem with the transmission of quantum information encoded in rotationally invariant states of single photons. Our approach exploits multiple degrees of freedom of single photons. In particular, the polarization and transverse spatial modes stand out for this purpose. Just as the circular polarization states are eigenstates of the spin angular momentum of light, the helical-wavefront Laguerre-Gaussian modes are eigenmodes of its orbital angular momentum (OAM). We implement photonic qubit invariant under rotation around the optical axis by combining the polarization with OAM properties. By developing a complete toolbox for the efficient encoding and decoding of quantum information in such photonic qubits, we demonstrate the feasibility of alignment-free quantum key-distribution, and perform proof-of-principle demonstrations of alignment-free entanglement distribution and Bell-inequality violation. The core of our toolbox is a liquid crystal device, named ``q-plate,'' that maps polarization-encoded qubits into qubits encoded in hybrid polarization-OAM states of the same photon that are invariant under arbitrary rotations around the propagation direction, and vice versa. The scheme should find applications in fundamental tests of quantum mechanics and satellite-based quantum communication. We will discuss the potential applications of this scheme to real quantum communication network. [Preview Abstract] |
Session C12: Focus Session: Complex Oxide Interfaces - Polar interfaces I
Sponsoring Units: DMPChair: Mark Rzchowski, University of Wisconsin, Madison
Room: 314
Monday, March 18, 2013 2:30PM - 2:42PM |
C12.00001: Photo-sensitive Transport Properties of the Two-dimensional Electron Gas at LaAlO$_3$/SrTiO$_3$ Interfaces T. Hernandez, Sangwoo Ryu, C.W. Bark, C.B. Eom, M.S. Rzchowski Photoresistance has been previously well characterized in highly resistive ($>10^6$ $\Omega/\Box$) LaAlO$_3$/SrTiO$_3$ heterostructures, showing a decrease in resistance on exposure to light. In some cases insulating heterostructures with LaAlO$_3$ layer below the critical thickness have become conducting on exposure to light. Here we report on the effects of light exposure on much lower sheet resistance ($\sim10^4$ $\Omega/\Box$) LaAlO$_3$/SrTiO$_3$ interfaces, which we find to show a non-negligible increase in resistance. This effect is opposite to the behavior of our more resistive samples. We discuss temperature and magnetic field dependence, possible mechanisms for this behavior, and the implications for other transport properties. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C12.00002: Ultrafast photoresponse of oxide nanostructures Lu Chen, Yanjun Ma, Mengchen Huang, Sangwoo Ryu, Chung Wung Bark, Chang-Beom Eom, Jeremy Levy Photoconductivity has been demonstrated for nanostructures at the interface of LaAlO$_3$/SrTiO$_3$ and spectral response shows signatures of in-gap states being responsible for photoresponse\footnote{Irvin, P. et al. Rewritable Nanoscale Oxide Photodetector. Nature Photon. 4, 849-852 (2010).}. However, as a wide bandgap material, SrTiO$_3$ shows large nonlinear optical coefficients. Here we discuss time-resolved measurements for exploring the nonlinearity of photoconductivity in the oxide nanostructures. It is found that a nonresonant $\chi^{(3)}$ process results in the observed tunable localized ultrafast response, as well as optical rectification, which can principally lead to the generation and detection of THz radiation. Due to the nanoscale nature of our device, these results foreshadow the control of THz field at single molecule scales. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C12.00003: Real-time characterization of nanostructures written at the LaAlO$_3$/SrTiO$_3$ interface Alexandre Gauthier, Patrick Irvin, Jeremy Levy Nanostructures can be written on the LaAlO$_3$/SrTiO$_3$ interface using conductive AFM lithography\footnote{C. Cen, \textit{et al.}, \textit{Science} \textbf{323}, 1026 (2009)}. These structures can be configured into devices including photodetectors\footnote{P. Irvin, \textit{et al.}, \textit{Nat. Photonics} \textbf{4}, 849 (2010)} and transistors\footnote{G. Cheng, \textit{et al.}, \textit{Nat. Nanotechnol.} \textbf{6}, 343 (2011)}. Characterization of complex devices requires simultaneous measurements between several pairs of electrodes. We have developed a method to take measurements between all electrodes simultaneously by both measuring and applying a bias at a unique frequency to each electrode. Fourier analysis is then used to separate measured signals by source terminal. This allows us to efficiently characterize multi-terminal devices in real-time, as they are being created. This method will allow for the use of new experimental techniques. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C12.00004: Direct Patterning of Oxide Interface with High Mobility 2DEG without Physical Etching Nirupam Banerjee, Mark Huijben, Gertjan Koster, Guus Rijnders Discovery of highly mobile two dimensional electron gas (2DEG) at the atomically engineered interface between two wide band-gap perovskite insulators, SrTiO3 (STO) and LaAlO3 (LAO) has stimulated the research to apply oxide materials in electronic devices such as high mobility electron transistors (HMET). In spite of excellent interfacial transport properties manifested, challenges remained in structuring these heterointerfaces without damaging the STO single crystal. Top-down physical etching process was an unsuitable choice to serve the purpose since it induces substrate conductivity through creation of oxygen vacancies. Here, we will demonstrate development of a novel procedure for fabricating patterned functional interfaces based on epitaxial-lift-off technique. With its help devices incorporating patterned interfaces of LAO-STO was fabricated devoid of any physical etching process performed and temperature dependent magneto transport properties were investigated. The results demonstrated conservation of the high-quality interface properties in the patterned structures enabling future studies of low-dimensional confinement on high mobility interface conductivity as well as interfacial magnetism. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C12.00005: Local characterization and charge modification of LaAlO$_{3}$/SrTiO$_{3}$ heterointerface: influence of gas environment Haeri Kim, Dong-Wook Kim, Seon Young Moon, Seung Hyup Baek, Ho Won Jang The discovery of high-mobility 2D electron gas (2DEG) at the interface between two band insulators, LaAlO$_{3}$ and SrTiO$_{3}$ (LAO/STO) has stimulated researches for both applications and fundamental understanding of the intriguing phenomena. Recent experimental and theoretical studies have elucidated roles of charged surface adsorbates on the modification of the resistance of the LAO/STO system. Thus, manipulation and characterization of the surface charges on the LAO/STO surface can be crucial step for unveiling the mechanism of the peculiar physical phenomena. In this work, we used scanning probe microscopy (SPM) to investigate how the ambient gas, such as H$_{2}$/Ar, Ar, and O$_{2}$, could influence the work function and resistance of the LAO/STO system. Also, we studied how the SPM tip-induced charge writing affected the surface potential, Vsurf, and resistance of the LAO/STO. Quantitative measurement of the influence of ambient gas and the charge writing on the surface potential led us to develop a model to explain the unique transport properties of the oxide-based 2DEG. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C12.00006: Piezoresponse force microscopy imaging of nanostructures created by conductive AFM lithography at oxide heterointerfac Mengchen Huang, Feng Bi, Sangwoo Ryu, Chang-Beom Eom, Jeremy Levy Nanoscale control of the metal-insulator transition in 3-unit cell (u.c.) LaAlO$_3$/SrTiO$_3$ heterostructures using conductive AFM (c-AFM) lithography allows the creation of conductive nanostructures \footnote{C. Cen, \textit{et al.} \textit{Nat. Mater}. \textbf{7}, 2136 (2008)}. Piezoelectric effects have recently been observed in planar LaAlO$_3$/SrTiO$_3$ heterostructures \footnote{C. W. Bark, \textit{et al.} \textit{Nano Letter}. 12(4), 1765 (2012)}, and the piezoresponse differs between the conducting and insulating states of 3-u.c. samples where c-AFM modulates the transition \footnote{M. Huang, \textit{et al.} arXiv: 1208.287 (2012)}. We have employed piezoresponse force microscopy (PFM) to detect and image the piezoresponse variations of nanostructures created by c-AFM lithography. PFM imaging allows visualization of the nanostructures, expanding capabilities for characterizing and studying individual devices. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C12.00007: Parallel conductive-AFM lithography of LaAlO$_3$/SrTiO$_3$ using 1D multiple-tip array Shuo Li, Mengchen Huang, Feng Bi, Sangwoo Ryu, Chang-Beom Eom, Jeremy Levy Nanoscale devices at the LaAlO$_3$/SrTiO$_3$ interface can be created by metastable charging of the top LaAlO$_3$ surface using a voltage-biased conductive-AFM tip.\footnote{C.Cen, \textit{et al.}, \textit{Nature Materials} \textbf{7}, 298 (2008).} In order to create scalable nanoelectronic circuits, it will be important to develop a process to allow multiple tips to write nanostructures in parallel. Here we demonstrate a parallel writing scheme using a 1D multiple-tip array. Independent control over the writing process for each tip is achieved by holding the tip array at a fixed potential and varying the voltage applied to individual electrodes. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C12.00008: Channel-like conduction in LaAlO$_3$/SrTiO$_3$ heterointerfaces Beena Kalisky, Eric Spanton, Hilary Noad, John Kirtley, Christopher Bell, Hiroki Sato, Yanwu Xie, Yasuyuki Hikita, Carsten Woltmann, Georg Pfanzelt, Rainer Jany, Harold Hwang, Jochen Mannhart, Kathryn Moler LaAlO$_3$/SrTiO$_3$ (LAO/STO) heterostructures exhibit metallic conduction at the interface. Many studies of LAO/STO properties are done by transport measurements which measure conductance over macroscopic areas of the sample or device. Local information about the electronic transport is crucial to the understanding of such new materials. We use scanning SQUID microscopy to map the magnetic field locally generated by current flowing at the interface in several LAO/STO samples. We find that the conduction is non-homogeneous and channel-like on the scale of microns and that the stripes/channels are related to tetragonal domains formed in the STO below $\sim$ 105K. We will describe the details of this exciting observation and its impact on transport studies of the LAO/STO interface. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C12.00009: Investigation of Current Channels at the Interface between Complex Oxide Heterostructures Aaron Rosenberg, John Kirtley, Eric Spanton, Christopher Watson, Emiliano Di Gennaro, Umberto Scotti Di Uccio, Carmela Aruta, Francesco Tafuri, Fabio Miletto Granozio, Kathryn Moler The interface between SrTiO$_3$ and LaAlO$_3$, both perovskite oxide insulators, supports metallic and superconducting states under certain conditions. Previous unpublished data by Kalisky et al. shows spatial variation in the current flow in these interfaces, including enhanced conductivity associated with structural domains. The microscopic origin of this variation in conductivity is unknown. We extend the previous work to LaGaO$_{3}$/SrTiO$_{3}$, and NdGaO$_3$/ SrTiO$_{3}$ interfaces, observe similar stripe-like modulations in the current flow, and study their temperature and frequency dependence. Additionally, we plan to study how the current channels in LaAlO$_{3}$/SrTiO$_{3}$ change under a uniaxial strain. Investigation of these spatial variations may improve our understanding of the relationship between structure and conductivity in complex oxide interfaces. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C12.00010: Broadband THz Generation and Detection at 10 nm Scale Yanjun Ma, Mengchen Huang, Jeremy Levy, Sangwoo Ryu, Chung Wung Bark, Chang-Beom Eom The terahertz region of the electromagnetic spectrum (0.1 THz-10 THz) probes a wealth of information relevant for material, biological, medical and pharmaceutical sciences, as well as applications in chemical sensing and homeland security. To date, there have been no methods capable of controlling THz radiation at scales relevant for single molecules. Here we report the generation and detection of broadband terahertz radiation from 10-nm-scale nanojunctions which are ''sketched'' at the interface of LaAlO$_{3}$/SrTiO$_{3}$ (LAO/STO) heterostructure with a conductive atomic force microscope (c-AFM) tip. The nonresonant $\chi^{(3)}$ process is characterized for a single nanojunction structure, which is nonlienar electronic response to both the static field cross the junction and the optical field illuminated the junction. The same mechanism can result in the generation and detection of broadband THz radiation. This unprecedented control of terahertz radiation, on a scale of four orders of magnitude smaller than the diffraction limit, creates a pathway toward ultra-high-resolution THz imaging, single-molecule fingerprinting, spectroscopic characterization of catalysts, and other applications. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C12.00011: Extraordinary two-dimensional charge transport at Co$_{2}$YSi (Y$=$Mn,Fe)-SrTiO$_{3}$ Interface P.K. Rout, Himanshu Pandey, Anupam Guleria, P.C. Joshi, Z. Hossain, R.C. Budhani We present extraordinary charge transport in epitaxial thin films of Co$_{2}$MnSi and Co$_{2}$FeSi grown on SrTiO$_{3}$, which shows remarkably low residual resistivity ($\approx $ 10$^{-7}\Omega $cm), giant residual resistivity ratio (as high as 1680) and high mobility ($\approx $ 10$^{4}$ cm$^{\mathrm{2}}$V$^{-1}$s$^{-1})$. Furthermore, such unusual behavior is not observed in films deposited on other cubic oxide substrates of comparable lattice parameters. The thickness dependent study establishes the presence of an electrically more conducting interfacial layer. We believe that a possible mechanism for the electronic behavior of the interface lies in a significant band bending at the interface in addition to the defects due to redox reaction of energetic particles during film growth. We compare our results with the behavior of recently discovered two dimensional electron gas (2DEG) at LaAlO$_{3}$/SrTiO$_{3}$ interface. The strong magnetic character of Heusler alloys combined with their metallicity adds a new dimension to 2DEG problem and makes it potentially important for spintronics applications. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C12.00012: Epitaxial Photoactive CoO/SrTiO$_{3}$ on Si(001) Alex Demkov, Hosung Seo, Thong Ngo, Agham Posadas, Son Hoang, Martin MacDaniel, Dirk Utess, Dina Tryiyso, Buddie Mullins, John Ekerdt Cobalt oxide (CoO) films were grown epitaxially on Si(001) by atomic layer deposition (ALD) using a thin (1.6 nm) buffer layer of strontium titanate (STO) grown by molecular beam epitaxy (MBE). Reflection high-energy electron diffraction, X-ray diffraction, and cross sectional scanning transmission electron microscopy were performed to characterize the crystalline structure of the films. The CoO films were found to be crystalline as-deposited even at the low growth temperature with no evidence of Co diffusion into Si. \textit{In-situ} X-ray photoelectron spectroscopy (XPS) was used to measure the band alignment of the two heterojunctions, CoO/STO and CoO/TiO$_{2}$. The experimental band alignment is compared to electronic structure calculations using density functional theory. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C12.00013: Two Dimensional Electron Gas and Rashba Effect at the Perovskite Polar Surface of KTaO$_3$ S. Satpathy, K.V. Shanavas Using density-functional calculations, we study the formation of the 2DEG and the Rashba effect at the polar surface of the perovskite oxide KTaO$_3$, in which the 2DEG has been recently observed [1]. While the formation of the subbands are similar to the polar interface of LaAlO$_3$/ SrTiO$_3$ [2], we find that atomic relaxations play a significant role here in determining its properties. The relaxations substantially weaken the electric field due to the polar structure, reducing electron density at the surface layer. Quite significantly, we find that the lattice relaxations suppress the surface induced asymmetry in the electronic wavefunctions close to the surface, which can explain the lack of significant Rashba splitting in experiments, despite the presence of heavy elements. With a tight-binding model that includes the asymmetry-controlled hopping, we find that the Rashba effect is present only for bands with certain orbital character, allowing for its possible gate control by tuning the occupancies of the various subbands. Density-functional studies with an applied electric field support these results.\\[4pt] [1] P. King {\it et. al.}, Phys. Rev. Lett., 108, 11602 (2012)\\[0pt] [2] Z. Popovi\'c, S. Satpathy, and R. Martin, Phys. Rev. Lett., 101, 256801 (2008) [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C12.00014: 2 dimensional electron gas in chemically stable SrSnO$_3$/KTaO$_3$ interface Hyukwoo Kwon, Chulkwon Park, Kookrin Char Recent 2DEGs are mostly formed at the interface of LaAlO$_{3}$/SrTiO$_{3}$(LAO/STO) system, which is explained by the polar catastrophe mechanism. Because of large propensity of oxygen vacancy formation in SrTiO$_{3}$, there remains a possibility that the origin of 2DEG of LAO/STO system may stem from extra charge of oxygen vacancy of SrTiO$_{3}$, not from the polar layer of LaAlO$_{3}$. In this presentation, we report the realization of 2DEG at the interface of SrSnO$_{3}$/KTaO$_{3}$(SSO/KTO), which is chemically stable due to extremely stable oxygen stoichiometry. This SrSnO$_{3}$/KTaO$_{3}$ heterosturcture was epitaxially grown by puled laser deposition and the interface was found atomically matched by transmission electron microscope and reciprocal space mapping. We measured the magnetic property of SSO/KTO heterostructure and acquired a large ferromagnetic signal, which is unchanged in the temperature range of 4$\sim$300 K by SQUID magnetometer measurement. As SrSnO$_{3}$ and KTaO$_{3}$ are non-magnetic materials, this ferromagnetic signal may result from 2DEG at the interface of SSO/KTO. We hope our results can shed lights on the exact mechanism of 2DEGs that are formed at oxide interfaces. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C12.00015: Correlation enhanced effective mass of two-dimensional electrons in Mg$_x$Zn$_{1-x}$O/ZnO heterostructures Yuichi Kasahara, Yugo Oshima, Joseph Falson, Yusuke Kozuka, Atsushi Tsukazaki, Masashi Kawasaki, Yoshihiro Iwasa Mg$_x$Zn$_{1-x}$O/ZnO provides extremely clean two-dimensional electron systems (2DESs) that exhibit the integer and fractional quantum Hall effects, as in GaAs-based heterostructures. The uniqueness of Mg$_x$Zn$_{1-x}$O/ZnO, compared with the GaAs-based heterostructures, lies in the fact that such clean 2DESs emerge with effective mass of electrons in ZnO, which is over four times higher than that in GaAs, indicating that the effects of electron correlation are expected to be much more pronounced than their GaAs counterparts. Here we show the results of combined magnetotransport and cyclotron resonance experiments on 2DESs confined in Mg$_x$Zn$_{1-x}$O/ZnO heterostructures. We have observed a steep enhancement of transport masses ($m^*_{tr}$) with decreasing carrier density, whereas the effective masses determined by the cyclotron resonance ($m^*_{CR}$) are independent of the carrier density and are comparable to the effective mass of bulk ZnO. The discrepancies between $m^*_{tr}$ and $m^*_{CR}$ directly gauges the strength of the electron-electron interactions. Therefore, observed enhancement of $m^*_{tr}$, which exceeds $m^*_{CR}$ by nearly 60\%, is a direct consequence the electron-electron interactions. [1] Y. Kasahara et al., Phys. Rev. Lett., Accepted. [Preview Abstract] |
Session C13: Focus Session: Topological Materials - Search for New Materials
Sponsoring Units: DMPChair: Seongshik Oh, Rutgers University
Room: 315
Monday, March 18, 2013 2:30PM - 2:42PM |
C13.00001: Structure and physical properties of Antimony Asenide: a first principle study Dat Do, S. D. Mahanti The group V elements, Sb, As and Bi have attracted renewed attention especially after the discovery of the strong topological insulator Bi$_{1-x}$Sb$_x$. While the mixing of group V elements are thought to be random, recently, Shoemaker et al., arXiv:1210.1986 [cond-mat.mtrl-sci], using single-crystal and high-resolution synchrotron x-ray diffraction, and neutron and x-ray pair distribution function analysis, show that SbAs has chemical ordering. Here we present a detailed theoretical study of the structure and physical properties of SbAs. Our cluster expansion calculation predicts the existence of the chemical ordering, in agreement with experiment. The electronic structure calculations reveal that SbAs is a semimetal with a pseudo gap. We also discuss the similarities and differences of SbAs with its two end-members Sb and As and the Sb-Bi system (bulk), and compare the surface electronic structures of all these systems. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C13.00002: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 2:54PM - 3:06PM |
C13.00003: Driving conventional semiconductors into topological insulating phase Kai Chang Topological insulator (TI) is a central issue of condensed matter physics and has attracted intensive interests recently. TI is a new state of quantum matter possessing insulating bulk and metallic edges. This novel property is caused by a strong spin-orbit interactions (SOIs) in TIs. Usually the topological insulators are narrow band gap systems containing heavy atoms. This requirement limits the members of TIs and its widespread application heavily. Electric fields can drive topological insulator transition in HgTe quantum wells and induces the intrinsic spin Hall effect [1], and can also be used to control surface magnetism of topological insulators [2,3]. More importantly, that conventional semiconductors can be driven into topological insulating phase utilizing the interface polarization induced electric field [4]. We demonstrate theoretically this possibility in GaN/InN/GaN systems. We are moving toward more commonly used semiconductors, such as Silicon.\\[4pt] [1] Phys. Rev. Lett. 100, 056602(2008), W. Yang, Kai Chang, and S. C. Zhang;\newline [2] Phys. Rev. Lett. 106, 097201(2011) J. J. Zhu, D. X. Yao, S. C. Zhang, and Kai Chang\newline [3] Phys. Rev. Lett. 106, 206802(2011); Kai Chang and W. K. Lou\newline [4] Phys. Rev. Lett. (2012), in press; M. S. Miao, Q. Yan, C. G. Van de Walle, W. K. Lou, L. L. Li, and Kai Chang. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C13.00004: Nontrivial spin-texture of the surface states in topological crystalline insulator SnTe Yung Jui Wang, Hsin Lin, Wei-Feng Tsai, Su-Yang Xu, M. Z. Hasan, A. Bansil We present a first principles investigation of the nontrivial surface states and their spin-texture in the topological crystalline insulator SnTe[1,2]. The surface state dispersion on the [001] surface is found to support four Dirac-cones centered along the intersection of the mirror plane and the surface plane. The in-plane spin-texture displays helicity and indicates a nontrivial mirror Chern number of -2, distinct from that of -1 in a Z$_{2}$ topological insulator such as Bi/Sb. The surface state dispersion and the associated spin-texture are shown to provide an experimental route for determining the presence of a nontrivial Chern number. Work supported by the US DOE.\\ \mbox{[1]} T. H. Hsieh \textit{et al.}, Nature Commun. {\bf 3}, 982 (2012).\\ \mbox{[2]} S. Y. Xu \textit{et al.}, Nature Commun. (2012 in press). Preprint at http://arXiv.org/abs/1210.2917. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C13.00005: Flat Chern Band in a Two-Dimensional Organometallic Framework Zheng Liu, Zheng-Fei Wang, Jia-Wei Mei, Yong-Shi Wu, Feng Liu By combining exotic band dispersion with nontrivial band topology, an interesting type of band, namely the flat chern band (FCB), has recently been proposed, in which carriers experience strong Coulomb interaction as well as topological frustration that in together spawn unprecedented topological strongly-correlated electronic states, such as high-temperature fractional quantum hall state. Despite the proposal of several theoretical lattice models, however, it remains a doubt whether such a ``romance of flatland'' could exist in a real material. Here, we present a first-principles design to realize a nearly FCB right around the Fermi level in a two-dimensional (2D) Indium-Phenylene Organometallic Framework (IPOF). Our design in addition provides a general strategy to synthesize topologically nontrivial materials in virtue of organic chemistry and nanotechnology. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C13.00006: Self-assembled structurally complex double-layers of 3-HPLN on Cu(111) Sumit Beniwal, Donna Kunkel, James Hooper, Scott Simpson, Eva Zurek, Axel Enders The self-assembly of 3-Hydroxyphenalenone (3-HPLN) on metal surface has been studied with scanning tunneling microscopy and first principles theory. 3-HPLN belongs to the group of topological ferroelectric organics, where the electric polarization is related to the hydrogen bonds between the molecules. It is observed that the structure of the self-assembled 2D networks is strongly dependent of the substrate material and the preparation conditions. Of particular interest in this presentation is the chiral Kagome lattices of 3-HPLN observed after annealing on Cu(111). A unique feature of the molecular network is the CH-pi bond formation between flat-lying molecules and molecules attached perpendicular to the surface. It will be demonstrated that the addition of a second layer on the first monolayer of 3-HPLN triggers a structural reorganization in the first layer, to form a complex double layer structure that is not merely the addition of two single layers. The chiral pores in the film can serve as a host or a template for metal nanoparticles, such as Fe. The so-obtained hybrid nanostructures might be a useful milestone towards self-asembled metal-organics multiferroics. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 4:18PM |
C13.00007: Topological Insulators: A New Platform for Fundamental Science and Applications Invited Speaker: Arun Bansil Topological insulators constitute a new phase of quantum matter whose recent discovery has focused world-wide attention on wide-ranging phenomena in materials driven by spin-orbit coupling effects well beyond their traditional role in determining magnetic properties. I will discuss how by exploiting electronic structure techniques we have been able to predict and understand the characteristics of many new classes of binary, ternary and quaternary topologically interesting systems. [1-4] The flexibility of chemical, structural and magnetic parameters so obtained is the key ingredient for exploring fundamental science questions, including novel spin-textures and exotic superconducting states, as well as for the realization of multi-functional topological devices for thermoelectric, spintronics, information processing and other applications. [5-7] I will also highlight new insights that have been enabled through our material-specific modeling of angle-resolved photoemission (ARPES) and scanning tunneling (STS) spectroscopies of topological surface states, including effects of the photoemission and tunneling matrix element, which is well-known to be important for a robust interpretation of various highly resolved spectroscopies. [8,9] Work supported by the Materials Science \& Engineering Division, Basic Energy Sciences, U. S. D. O. E.\\[4pt] [1] H. Lin \textit{et al.}, \textit{Nature Materials} 9, 546 (2010).\\[0pt] [2] H. Lin \textit{et al.}, \textit{Physical Review Letters} 105, 036404 (2010). \\[0pt] [3] T. H. Hsieh \textit{et al.}, \textit{Nature Communications} 3, 982 (2012). \\[0pt] [4] S. Y. Xu \textit{et al.}, \textit{Nature Communications} 3, 1192 (2012).\\[0pt] [5] S. Basak \textit{et al.}, \textit{Physical Review B-Rapid} 84, 121401 (2011).\\[0pt] [6] S-Y Xu \textit{et al.}, \textit{Nature Physics} 8, 616 (2012).\\[0pt] [7] S. Y. Xu \textit{et al.}, \textit{Science} 332, 560 (2011).\\[0pt] [8] Y. Okada \textit{et al.}, \textit{Physical Review Letters} 106, 206805 (2011).\\[0pt] [9] Y. Sakurai \textit{et al.}, \textit{Science} 332, 698 (2011). [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C13.00008: Metal-Insulator Transition in Epitaxial Pyrochlore Iridates Bi$_2$Ir$_2$O$_7$ thin Films Jiun-Haw Chu, Jian Liu, Di Yi, C. Rayan-Serrao, S. Suresha, Xavi Marti, Scott Riggs, Max Shapiro, Fisher Ian, R. Ramesh Recently there is a surge of interest in searching for topological order in correlated electronic systems such as transition metal oxides. The strong spin-orbit interaction of 5d electrons and the geometric frustration in the crystal lattice make the pyrochlore iridate(A$_2$Ir$_2$O$_7$) an ideal candidate to achieve this goal. Pioneering experiments on bulk polycrystalline and single crystal samples revealed a temperature dependent metal-insulator transition coupled to a long range magnetic order, and the transition temperature can be tuned by either A-site ionic radius or an external pressure. In this talk we present our efforts to understand and control the metal-insulator transition and the underlying electronic structure of pyrochlore iridates via epitaxial Bi$_2$Ir$_2$O$_7$ thin films. Bulk Bi$_2$Ir$_2$O$_7$ is located at the metallic side of the phase diagram. However as the film's thickness decreases the transport evolves from a metallic to a strongly localized character. Resonant X-ray spectroscopy suggests that the density of states near Fermi level is dominated by the Ir J$_eff$=1/2 states. Intriguingly, the magnetoresistance shows a linear field dependence over a wide range of fields at low temperatures, which is possibly consistent with the existence of Dirac nodes. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C13.00009: Na$_2$IrO$_3$ as a Novel Relativistic Mott Insulator with a 340\,meV Gap Riccardo Comin, G. Levy, I. Elfimov, A. Damascelli, D. Stricker, J. Hancock, D. van der Marel, Y. Singh, P. Gegenwart We have studied Na$_{2}$IrO$_{3}$ by ARPES, optics, and band structure calculations in the local-density approximation (LDA). The weak dispersion of the Ir 5$d$-$t_{2g}$ manifold highlights the importance of structural distortions and spin-orbit coupling (SO) in driving the system closer to a Mott transition. We detected an insulating gap $\Delta_{gap}\!\simeq\!340$\,meV which, at variance with a Slater-type description, is already open at 300\,K and does not show significant temperature dependence even across $T_N\!\simeq\!15$\,K. An LDA analysis with the inclusion of SO and Coulomb repulsion U revealed that, while the prodromes of an underlying insulating state are already found in LDA+SO, the correct gap magnitude can only be reproduced by LDA+SO+U, with $U\!=\!3$\,eV. This establishes Na$_2$IrO$_3$ as a novel type of Mott-like correlated insulator in which Coulomb and relativistic effects have to be treated on an equal footing. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C13.00010: Single surface state at a strongly correlated electronic structure in Na$_{2}$IrO$_{3}$: a candidate d-electron topological insulator Qiang Wang, Yue Cao, Justin Waugh, Tongfei Qi, Oleksandr Korneta, Gang Cao, Daniel Dessau We have performed angle-resolved photoemission spectroscopy (ARPES) on Na$_{2}$IrO$_{3}$, a 5d transition metal oxide (TMO) which is a strong insulator with a honeycomb lattice structure and has been theoretically proposed as a candidate for a new class of topological insulators (TIs). The near E$_{F}$ electronic structure of Na$_{2}$IrO$_{3}$ was carefully mapped, which shows an overall agreement to the first-principle calculations with spin-orbit (SO) coupling and electron correlation (U), though certain discrepancy remains. Specifically, we found an extra electron-like pocket near the Fermi level with Dirac-cone-like dispersion around $\Gamma $ point. The further photon energy dependent studies show no k$_{z}$-dispersion of this electron-like pocket, and the metal deposition studies show a great enhancement and sharpening of this feature. These results confirm its surface state nature and suggest a possible single topological surface state at the Brillouin zone (BZ) center in Na$_{2}$IrO$_{3}$. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C13.00011: Multiple Topological phase transitions induced by magnetic ordering in Cd$_2$Ru$_2$O$_7$ Hongming Weng, Quansheng Wu, Zhong Fang, Xi Dai The magnetic and electronic structures of pyrochlore Ruthenates Cd$_2$Ru$_2$O$_7$ are studied by means of first principle calculation. We find that the paramagnetic phase stabilized in high temperature is a three dimensional $Z_2$ topological insulator. While in low temperature the all-in/all-out type anti-ferromagnetic order appears, which leads to three different topologically non-trivial phase can be stabilized upon cooling, namely the axion insulator, Weyl semi-metal and intrinsic polarization phases. The detailed evolution of both bulk and surface electronic structures as the function of magnetic order parameter are obtained. Based on the above observations we propose several experimental consequences, which can be detected by further experiments. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C13.00012: Topological phases in layered pyrochlore oxide thin films along the [111] direction Xiang Hu, Andreas R\"uegg, Gregory A. Fiete We theoretically study a multi-band Hubbard model of pyrochlore oxides of the form A$_2$B$_2$O$_7$, where B is a heavy transition metal ion with strong spin-orbit coupling, in a thin film geometry orientated along the [111] direction. Along this direction, the pyrochlore lattice consists of alternating kagome and triangular lattice planes of B ions. We consider a single kagome layer, a bilayer, and the two different trilayers. As a function of the strength of the spin-orbit coupling, the direct and indirect $d$-orbital hopping, and the band filling, we identify a number of scenarios where a non-interacting time-reversal invariant Z$_2$ topological phase is expected and we suggest some candidate materials. We study the interactions in the half-filled $d$-shell within Hatree-Fock theory and identify parameter regimes where a zero magnetic field Chern insulator with Chern number $\pm1$ can be found. The most promising geometries for topological phases appear to be the bilayer which supports both a Z$_2$ topological insulator and a Chern insulator, and the triangular-kagome-triangular trilayer which supports a relatively robust Chern insulator phase. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C13.00013: Samarium Hexaboride - First True 3D Topological Insulator Steven Wolgast, \c{C}a\u{g}liyan Kurdak, Kai Sun, James Allen, Zachary Fisk Although many important breakthroughs in the study of topological states of matter have been achieved within the last few years, a very important link still remains missing--the experimental discovery of a true 3D topological insulator. Materials currently known to have topological surface states (e.g. Bi$_{1-x}$Sb$_{x}$, Bi$_{2}$Se$_{3}$ and Bi$_{2}$Te$_{3}$) are also bulk conductors, and thus do not have a well-defined topological index. Recent calculations of the heavy-fermion Kondo insulator Samarium Hexaboride (SmB$_{6}$) have predicted the possibility of in-gap topological surface states in this material. Meanwhile, the conjectured existence of a topologically-protected surface state in SmB$_{6}$ could resolve many of the long-standing puzzles surrounding its low-temperature transport properties. Here we study the transport properties of SmB$_{6}$ with a novel configuration designed to distinguish bulk-dominated conduction from surface-dominated conduction. We find that SmB$_{6}$ is a true topological insulator with an insulating bulk and a metallic surface. This discovery resolves the standing puzzles about the strange transport behavior of this material, and it provides the first material in which transport properties of a 3D topological state can be studied. [Preview Abstract] |
Session C14: Focus Session: Magnetic Oxide Superlattices and Multiferroics
Sponsoring Units: DMP GMAGChair: Susanne Stemmer, UC Santa Barbara
Room: 316
Monday, March 18, 2013 2:30PM - 2:42PM |
C14.00001: First-principles study of spin-lattice and spin-phonon couplings in SrMnO$_3$/LaMnO$_3$ superlattice Yuanjun Zhou, Karin Rabe We have studied the influence of epitaxial strain on magnetic orderings and the couplings between the spin and optical phonons in SrMnO$_3$/LaMnO$_3$ superlattices using first principles. We first couple octahedral rotations with structural relaxations in ferromagnetic (FM), A-type antiferromagnetic (A-AFM) and C-type AFM (C-AFM) states, and obtain the sequence of magnetic phases with epitaxial strain. We also find that oxygen octahedral rotations lower the ground state energy but do not destroy the strain induced magnetic phase transitions. Next, the zone-center phonon modes in FM, A-AFM, and C-AFM states are computed using the frozen phonon method. A substantial increase of the coupling strength between the spin and the lowest polar mode is observed for tensile strains. From the analysis of the eigenvectors, the effect is inferred to be the consequence of the enhanced amplitudes of oxygen atoms in the phonon mode. Finally, spin-phonon coupling parameters are computed in a Heisenberg formulism. They reveal the changes in exchange couplings due to specific atomic displacements or phonon modes, as well as the inequality of the out-of-plane exchange couplings across LaO layers and across SrO layers, the latter being the result of the artificial structuring in the superlattice. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C14.00002: Charge transfer and magnetism in (LaNiO$_3$)$_n$/(LaMnO$_3$)$_2$ superlattices Jason Hoffman, I-Cheng Tung, Brittany Nelson-Cheeseman, Ming Liu, John Freeland, Anand Bhattacharya Interfaces in solids have been an enduring them in materials physics, where dimensionality and proximity effects cooperate to create interfacial states that are distinct from their bulk counterparts. In this work, we investigate the interfacial ferromagnetism induced in the the paramagnetic metal LaNiO$_3$ via proximity to the antiferrmagnetic insulator LaMnO$_3$. We fabricated a series of (LaNiO$_3$)$_n$/(LaMnO$_3$)$_2$ ($2 \leq n \leq 5$) digital superlattices on (001) SrTiO$_3$ substrates using ozone-assisted molecular beam epitaxy. The total superlattice thickness is maintained at $\sim$30 nm by varying the number of superlattice periods. X-ray absorption and x-ray magnetic circular dichroism measurements at the Mn and Ni $L$-edges confirm the presence of charge-transfer at the LaNiO$_3$/LaMnO$_3$ interface, with magnetism residing on both Mn and Ni sites. Magnetotransport measurements performed on superlattices with $n \leq 3$ show insulating behavior between 5 K and 300 K, while samples with $n$ = 4,5 are metallic. We observe an anomalous Hall effect in the sample with $n = 4$, that vanishes in the more metallic $n = 5$ sample. We discuss possible models for the electronic and magnetic behavior of LaNiO$_3$. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C14.00003: Designing ferromagnetism in early transition metal oxides in bulk and superlattice forms Hung Dang, Andrew Millis The circumstances under which early transition metal oxides could exhibit ferromagnetism are determined using density functional plus single-site dynamical mean field methods. Particular attention is paid to the consequences of the GdFeO$_3$ distortion and other octahedral rotations. Ferromagnetism is favored by the combination of intermediate carrier concentration (formal valence $\sim d^{1.5}$) and large tilt angle. The decrease of GdFeO$_3$ distortion amplitude with hole doping away from $d^2$ is shown to keep the bulk solid solution La$_{1-x}$Sr$_x$VO$_3$ outside of the ferromagnetic regime. In superlattices such as (LaVO$_3$)$_m$(SrVO$_3$)$_1$, carrier concentration and tilt angle may be decoupled, potentially enabling ferromagnetism as suggested by experiment[1].\\[4pt] [1] U. L\"uders, W. C. Sheets, A. David, W. Prellier, and R. Fr\'esard, Phys. Rev. B 80, 241102(R) (2009). [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:42PM |
C14.00004: Designing Magnetism in Oxide Superlattices Invited Speaker: Andrew Millis Dramatic improvements in pulsed laser deposition and oxide molecular beam epitaxy suggest that it may be possible to create ``designer'' materials with desired correlated electron properties. This talk presents the results of theoretical studies based on the density functional plus dynamical mean field approximation aimed at determining design rules for creating or optimizing magnetism in oxide superlattices. Among the topics covered will be the physics of long-period antiferromagnetic states in nickelate-based heterostructures and the relation of strain-induced octahedral rotations in creating ferromagnetic states in vanadate-based superlattices. We show in particular how appropriately designed superlattices may lead to structure-doping combinations which do not occur in bulk solid solutions but which can produce high Curie temperature ferromagnetism. Limitations of present theoretical capabilities and opportunities and needs for conceptual, methodological and algorithmic improvements will also be discussed. This work is based in part on collaborations with C. Marianetti, B. Lao and H-T Dang. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C14.00005: Tunable spin-density-wave order in nickelate heterostructures A. Frano, E. Schierle, M. Haverkort, Y. Lu, M. Wu, S. Blanco-Canosa, U. Nwankwo, A.V. Boris, P. Wochner, G. Cristiani, H.U. Habermeier, V. Hinkov, E. Benckiser, E. Weschke, B. Keimer Antiferromagnetic spin-density-wave (SDW) order in metals has been proposed as the basis for a new generation of spintronic devices. However, SDWs have been observed only in a few materials to-date, and it has proven difficult to systematically control their properties. Using resonant x-ray diffraction, we demonstrate SDW order in epitaxial thin films and superlattices based on metallic $\bf \it R$NiO$_3$ with $\bf \it R$ = La, Nd, Pr. The materials remain highly conductive in the SDW state, and the amplitude of concomitant charge order is dramatically reduced with respect to their bulk analogs. We also show that the SDW polarization is tunable through two independent control parameters -- epitaxial strain and dimensional confinement of the conduction electrons. Nickelate heterostructures are thus a powerful new model platform for SDW physics and antiferromagnetic spintronics. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C14.00006: Magnetic and orbital order in (RMnO3)n/(AMnO3)2n superlattices Shuai Dong, Qinfang Zhang, Elbio Dagotto The magnetic and orbital orders in (RMnO3)n/(AMnO3)2n (R: rare earths; A: alkaline earths, n=1 and 2) superlattices have been studied using both the double-exchange model and density functional theory calculations. For large bandwidth manganites, the A-type antiferromagnetic order is found to be robust when the superlattices are grown on a SrTiO3 substrate, as in recent experiments on (LaMnO3)n/(SrMnO3)2n. In addition, a C-type antiferromagnetic state is predicted for these superlattices when using substrates like LaAlO3 with smaller lattice constants. The physical mechanism for the stabilization of the A- and C- magnetic transitions is driven by the orbital splitting of the x2-y2 and 3z2-r2 orbitals, which is induced by the Q3 mode of Jahn-Teller distortions created by the strain induced by the substrates. If the superlattices were prepared employing narrow bandwidth manganites, several non-homogeneous magnetic profiles are predicted to exist, highlighting the importance of carrying out investigations in this mostly unexplored area of research. [1] S. Dong, Q.F. Zhang, S. Yunoki, J.-M. Liu, and E. Dagotto, Phys. Rev. B in press. (ArXiv: 1211.1943) [2] Q.F. Zhang, S. Dong, B.L. Wang, and S. Yunoki, Phys. Rev. B 86, 094403 (2012). [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C14.00007: Half-Metallic Ferromagnetism in LaAlO$_3$/SrMnO$_3$ Nanosheet Superlattices Fang Hou, Tian-Yi Cai, Sheng Ju, Ming-Rong Shen Based on first-principle density-functional theory, we have revealed a robust half-metallic ferromagnetism in LaAlO$_{3}$/SrMnO$_{3}$ nanosheet supperlattices. Interface electronic reconstruction, where electrons transfer from the (LaO)$^{+}$ layer to the adjacent (MnO$_{2})^{0}$ layer, is found to lead to the partially occupied e$_{g}$ orbitals at the Mn sites and the half-metallic state in nn-type superlattice via the Zener double-exchange mechanism. On the other hand, holes transfer from (AlO$_{2})^{-}$ layer to (SrO)$^{0}$ layer and reside mainly at oxygen sites in SrMnO$_{3}$, leading to either the preserved G-type AFM ordering in pp-type superlattices or complex magnetic ordering in np-type superlattices. When these systems transist to ferromagnetic ordering by an external magnetic field, an obvious change of electronic states at the Fermi level is found, suggesting a large magnetoresistive effect therein. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C14.00008: Magnetoelectric coupling across the BiFeO3/manganite interface Di Yi, Jian Liu, Pu Yu, Weidong Luo, Suresha Jaganatha, Guneeta Bhalla, Gunnar Palsson, Elke Arenholz, satoshi Okamoto, Ramamoorthy Ramesh Artificially constructed heterointerfaces between strongly correlated systems provide researchers an extensive playground to investigate the novel physics and fascinating states. Recently it has been shown that an exotic magnetoelectric coupling exists at the ferromagnetic manganite La0.7Sr0.3MnO3 (LSMO) and the multiferroic BiFeO3 (BFO) interface, in which the magnetization, the coercive field and exchange bias of LSMO can be controlled by the ferroelectric polarization of BFO. First principle calculations illustrate that different charge screening of polarization lead to different coupling mechanism. To further explore the magnetoelectric coupling, we also investigate the heterostructure between BiFeO3 and half-doped manganite La0.5Ca0.5MnO3 (LCMO). Unlike LSMO which is a ferromagnetic metal, LCMO thin film exhibits a paramagnetic semiconducting behavior in the temperature range we studied, yet the magnetization of LCMO in an applied magnetic field enhanced by a factor of 2 by switching the ferroelectric polarization. X-ray absorption data reveals the different valence states of Mn, consistent with the charge screening model. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C14.00009: Engineering the magnonic and spintronic response of BiFeO$_{3}$ films by epitaxial strain Maximilien Cazayous, P. Rovilain, J. Juraszek, A.K. Zvezdin, L. Bellaiche, B. Dkhil, A. Barthelemy, M. Bibes Multiferroics display cross-coupling effects between ferroelectricity and magnetism. BiFeO$_{3}$ has many properties such as a cycloidal magnetic order in the bulk and conductive domain walls, most related to its ferroelectric order. However its antiferromagnetic properties have not been investigated deeply in thin films. Here we show how the strain engineering can be applied to modify its static and dynamic magnetic properties. We have used Mossbauer and Raman spectroscopies combined with Landau-Ginzburg theory and effective Hamiltonian calculations. We show that the cycloidal spin modulation that exists at low compressive strain is driven towards collinear antiferromagnetism at both tensile and compressive high strain. Morover, we find that the spin excitations are entirely modified with the suppression of the magnon modes as strain increases and that the strain modifies the average spin angle from in-plane to out-of-plane. Our results illustrate the power of strain engineering for designing functional materials on demand. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C14.00010: Order Parameter Interaction at Interfaces and Domain Walls in a BiFeO3 Thin Film Young-Min Kim, Mark Oxley, Anna Morozovska, Eugene Eliseev, Pu Yu, Ying-Hao Chu, Ramamoorthy Ramesh, Stephen Pennycook, Sergei Kalinin, Albina Borisevich Atomic scale studies of the different structural, electronic, and chemical order parameters at domain walls and interfaces are vital for optimization and design of the ferroelectric-based thin film devices. In this study, we use quantitative scanning transmission electron microscopy (STEM) combined with electron energy loss spectroscopy to study atomic-scale phenomena in a multiferroic thin film heterostructures of BiFeO$_{3}$ (BFO) epitaxially grown on (La,Sr)MnO$_{3}$ (LSMO) layer on a SrTiO$_{3}$ (STO) substrate. We find that charged and uncharged domain walls, as well as interfaces to domain of different polarity, have distinct structural signatures. Charged domain walls are associated with local lattice expansion, suggesting segregation of oxygen vacancies; uncharged domain walls show increased Debye-Waller factors for Bi, suggesting structural frustration. At the LSMO/BFO interface, downward polarization direction is associated with change in local valence state of near-interface Mn cations and lattice expansion. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C14.00011: Probing of spontaneous polarization screened by defect-induced free carriers in gallium ferrite thin films S.H. Oh, R.H. Shin, W. Jo, C. Lefevre, F. Roulland, A. Thomassn, C. Meny, N. Viart Gallium ferrite, GaFeO3 (GFO), is known as a potential multiferroic material with spontaneous polarization and magnetization [1,2]. However, it was difficult to measure the polarization reversal of GFO thin films because conduction electrons screen the polarization switching responsible for ferroelectricity. Therefore, controlling charge conduction of a multiferroic material is key issue. In this study, we investigated the carrier transport behavior and the charge conduction mechanism in epitaxial GFO thin films deposited on metallic oxide-coated single crystal SrTiO3 substrates by pulsed laser deposition. Macroscopic carrier transports result showed that the interface limited model was the dominant conduction mechanism of the large leakage current and the nature of carrier transport at interface between GFO thin films and substrates was demonstrated by band profiles. Local charge conduction of GFO thin films was studied by conducting atomic force microscope. The polarization switching behavior of GFO thin films was showed by polarization-electric field curve and the positive-up-negative-down method. [1] A. Roy et al., J. Phys.: Condens. Matter 23 (2011) 325902. [2] D. Stoeffler, J. Phys.: Condens. Matter 24 (2012) 185502. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C14.00012: Interfacial Magnetic Response of PbZr$_{0.2}$Ti$_{0.8}$O$_{3}$ /La$_{0.67}$Sr$_{0.33}$MnO$_{3}$ Heterostructures San-Wen Chen, Hongyu Guo, Karine Dumesnil, Valeria Lauter, Cecilia Sanchez-Hanke, Edwin Fohtung, Moses Marsh, Oleg Shpyrko, Eric Fullerton, Sunil Sinha There is increasing interest in modifying magnetism by electric fields for both scientific and technological point of view. In a ferromagnetic/piezoelectric composite structure, it is reported that the electric field can alter both the Curie temperature and the magnetization in the ferromagnetic material by inducing charge accumulation or depletion at the interface.$^{\mathrm{1}}$ To understand the detailed changes in the magnetization profile at the interface, we performed both polarized neutron reflectivity and resonant soft X-ray reflectivity measurements on an epitaxially grown PbZr$_{\mathrm{0.2}}$Ti$_{\mathrm{0.8}}$O$_{\mathrm{3\thinspace }}$(PZT)/La$_{\mathrm{0.67}}$Sr$_{\mathrm{0.33}}$MnO$_{\mathrm{3}}$ (LSMO) bilayer. A clear magneto-electric effect was observed below the Curie temperature of LSMO; i.e., the magnetization in LSMO decreases when the electric field was applied. The magnetization depth profile as a function of applied electric field will be presented.\\ \\$^{\mathrm{1}}$H. J. A. Molegraaf et al. \textit{Adv. Materials} \textbf{21}, 3470 (2009).\\$^{2}$This work is supported by DOE/BES through grant number DW-SC0003678. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C14.00013: Investigation on valences and strains in La$_{0.7}$Sr$_{0.3}$MnO$_{3}$/PbZr$_{0.2}$Ti$_{0.8}$ O$_{3}$ Heterostructures Jinling Zhou, Disheng Chen, Andreas Scholl, Ying-Hao Chu, Mikel Holcomb Magnetoelectric (ME) coupled materials have electric and magnetic properties coexisting and coupled together, promising novel applications. Understanding the coupling mechanisms responsible for this behavior would allow a strategic approach to device design. Our group studies the interfacial properties of the known magnetoelectric system of ferromagnetic La$_{0.7}$Sr$_{0.3}$MnO$_{3}$ (LSMO) and ferroelectric PbZr$_{0.2}$Ti$_{0.8}$ O$_{3}$ (PZT). Through photoemission electron microscopy imagining, ME coupling was confirmed at the interface. X-ray absorption spectroscopy of Mn and Ti was taken across wedged samples of varying ferroelectric and ferromagnetic thicknesses. X-ray microdiffraction was analyzed at different thickness to investigate the strain effect on ME coupling. The effect of thickness and strain on Mn and Ti valences suggest an ideal model for improving interfacial coupling in these systems. [Preview Abstract] |
Session C15: Focus Session: New Frustrated Models: Theory & Materials
Sponsoring Units: GMAG DMPChair: William Ratcliff, National Institute of Standards and Technology
Room: 317
Monday, March 18, 2013 2:30PM - 2:42PM |
C15.00001: Emergent critical phase and Ricci flow in a 2D frustrated Heisenberg model Peter P. Orth, Premala Chandra, Piers Coleman, Joerg Schmalian We introduce a two-dimensional frustrated Heisenberg antiferromagnet on interpenetrating honeycomb and triangular lattices [1]. Classically the two sublattices decouple, and ``order from disorder'' drives them into a coplanar state. Applying Friedan's geometric approach to nonlinear sigma models, we obtain the scaling of the spin-stiffnesses governed by the Ricci flow of a 4D metric tensor. At low temperatures, the relative phase between the spins on the two sublattices is described by a six-state clock model with an emergent critical phase and two Berezinskii-Kosterlitz-Thouless (BKT) phase transitions.\\[4pt] [1] Peter P. Orth, Premala Chandra, Piers Coleman, and J\"org Schmalian, arXiv:1206.5740v1 (2012) (accepted for Phys. Rev. Lett.) [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C15.00002: Pentagonal Spin Ice Paula Mellado, Gia-Wei Chern We study a novel version of spin ice in the Pentagonal lattice from a theoretical perspective. The coexistence of even (z=4) and odd (z=3) coordinated vertices in this network gives rise to a mixed spin ice phase where the honeycomb spin ice rule is realized at the z=3 sites and the usual spin ice with no magnetic charge occurs at the z=4 vertices. As the system cools down a phase with charge order precludes the spin ordered stage. Magnetic excitations that violate ice-rule at the z=4 vertices behave as emergent monopoles whose interaction with the background charges from z=3 sites exhibits novel dynamics. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C15.00003: Thermodynamics of Ising Spins on the Star Lattice Dao-Xin Yao, Zewei Chen, Nvsen Ma There is a new class of two-dimensional magnetic materials polymeric iron (III) acetate fabricated recently in which Fe ions form a star lattice. We study the thermodynamics of Ising spins on the star lattice with exact analytic method and Monte Carlo simulations. Mapping the star lattice to the honeycomb lattice, we obtain the partition function for the system with asymmetric interactions. The free energy, internal energy, specific heat, entropy and susceptibility are presented, which can be used to determine the sign of the interactions in the real materials. Moreover, we find the rich phase diagrams of the system as a function of interactions, temperature and external magnetic field. For frustrated interactions without external field, the ground state is disordered (spin liquid) with residual entropy 1.522 . . . per unit cell. When a weak field is applied, the system enters a ferrimagnetic phase with residual entropy ln4 per unit cell. The arXiv version of this work is arXiv:1210.1675. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C15.00004: Field-induced multiple-Q phases in a frustrated quantum magnet Yoshitomo Kamiya, Cristian Batista We study a frustrated hard-core boson model inspired by recent experiments on the field-induced quantum phase transition in the S$=$1 dimer antiferromagnet Ba$_{3}$Mn$_{2}$O$_{8}$ for a magnetic field H parallel to the c axis. We calculate the effective interactions in the low-density limit by adding the ladder diagrams and determine the ground state phase diagram near the quantum critical point. The phase diagram is very rich and includes different multiple-\textbf{Q} Bose-Einstein condensates (BECs) that combine the six degenerate incommensurate lowest-energy modes $\pm$ \textbf{Q}$_{n}$ (1$\le n\le $3) at the quantum critical point. The multiple-\textbf{Q} states include a lattice of magnetic vortices that emerges out of frustration between the boson-boson interactions. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C15.00005: Spin-Density-Wave Order and Slow Dynamics in Ca$_{3}$Co$_{2}$O$_{6}$ Cristian Batista, Yoshitomo Kamiya We study a frustrated quantum Ising model relevant for Ca$_{3}$Co$_{2}$O$_{6\, }$that comprises a triangular lattice of weakly coupled ferromagnetic chains$_{\, }$[Y. Kamiya and C. D. Batista, PRL \textbf{109}, 067204 (2012)]. Our quantum Monte Carlo simulation shows that the chains become ferromagnetic and form a three-sublattice ``up-up-down'' structure in the lowest temperature regime $T\le T_{CI}$ due to a quantum effect. In contrast, long-wavelength spin-density-wave (SDW) modulations along the chains are stabilized for $T_{CI}$\textless $T$\textless $T_{c}$ in agreement with recent experiments. We also discuss a simple mean-field theory revealing quasi-continuous change of the modulation periodicity as a function of $T$ and implying the existence of metastable states in the SDW phase, which explains the slow low-temperature dynamics that has been observed in Ca$_{3}$Co$_{2}$O$_{6}$. The closely related multiferroic materials Ca$_{3}$CoMnO$_{6}$ and Lu$_{2}$CoMnO$_{6\, }$will also be discussed. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C15.00006: Elastic and inelastic neutron scattering studies on Sr$_{2}$FeSi$_{2}$O$_{7}$ Kazuki Iida, Israel Klich, Sungdae Ji, Jooseop Lee, Naoyuki Katayama, Tom Jackson, Seunghun Lee, Doron Bergman, Sung Chang, Duc Le, Enrico Faulhaber, Astrid Schneidewind, Taehwan Jang, Yoonhee Jeong, Sangwook Cheong Evolution of static and dynamic spin correlations in a new multiferroics material Sr$_{2}$FeSi$_{2}$O$_{7}$ under an external magnetic field was investigated by elastic and inelastic neutron scattering techniques. An external magnetic field up to $B = $ 14 Tesla induces four different magnetic and ferroelectric phases in Sr$_{2}$FeSi$_{2}$O$_{7}$. The static magneto-electric coupling can be understood as the p-d hybridization proposed for a related material Ba$_{2}$CoGe$_{2}$O$_{7}$. By analyzing the neutron scattering data obtained from a single crystal of Sr$_{2}$FeSi$_{2}$O$_{7}$ under magnetic field, we have determined the spin structure and the effective spin Hamiltonian in this material. The spin structure and spin wave excitations show interesting changes as upon ramping up the system enters the field-induced phases for $B$ \textgreater\ 6.5 Tesla, which will also be discussed. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 4:18PM |
C15.00007: Spin-lattice coupling and novel magnetic properties in the triangular lattice antiferromagnet Ag$_2$CrO$_2$ Invited Speaker: Masaaki Matsuda Spin-lattice coupling plays an important role in selecting the ground state in the geometrically frustrated magnets, since a small amount of structural distortion is sufficient to lift the ground state degeneracy and stabilize a long-range magnetic order. Ag$_2$CrO$_2$ consists of insulating triangular lattice planes of CrO$_2$ (Cr$^{3+}$ ion with $S$=3/2), which are separated by the metallic Ag$_2$ layers. Interestingly, the electric transport in the Ag$_2$ layer is strongly affected by the magnetism in the CrO$_2$ layer. We performed neutron diffraction experiments on this material and found that a partially disordered state with 5 sublattices abruptly appears at $T\rm_N$=24 K, accompanied by a structural distortion [1]. The spin-lattice coupling stabilizes the anomalous state, which is expected to appear only in limited ranges of further-neighbor interactions and temperature. The nonnegligible further-neighbor interactions suggest the existence of the RKKY interaction mediated by the conduction electrons. We have recently performed inelastic neutron scattering experiments and found anomalous magnetic excitations, which cannot be explained simply by the linear spin-wave theory. \\[4pt] [1] M. Matsuda {\it et al.}, Phys. Rev. B 85, 144407 (2012). [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C15.00008: The Dugganites: A new, frustrated, and potentially multiferroic class of compounds that exhibit rich magnetic behavior Harlyn Silverstein, Arzoo Sharma, Avichai Stoller, Kanisha Cruz-Kan, Christopher Wiebe Ba$_{\mathrm{3}}$NbFe$_{\mathrm{3}}$Si$_{\mathrm{2}}$O$_{\mathrm{14}}$ is a multiferroic langasite (s.g. $P$321) wherein the Fe$^{\mathrm{3+}}$ atoms (S$=$5/2) occupy isolated trimers that stack along the $c$-axis. The spins uniquely order below T$_{\mathrm{N}}=$26 K, where single domain helicity simultaneously exists with triangular chirality. Preparations of other langasites of this type are possible, so long as Fe$^{\mathrm{3+}}$ remains in the trimer site leaving the magnetism relatively unchanged. This is because Fe$^{\mathrm{3+}}$ occupies a tetrahedral site, where most other transition metal ions prefer the octahedral site occupied by Nb$^{\mathrm{5+}}$. Building on previous research, we have circumvented this problem by replacing Nb$^{\mathrm{5+}}$ with Te$^{\mathrm{6+}}$, which is found exclusively in octahedral coordination. Isostructural compounds Pb$_{\mathrm{3}}$TeCo$_{\mathrm{3}}$A$_{\mathrm{2}}$O$_{\mathrm{14}}$ (A$=$V$^{\mathrm{5+}}$, P$^{\mathrm{5+}})$ and Pb$_{\mathrm{3}}$TeMn$_{\mathrm{3}}$P$_{\mathrm{2}}$O$_{\mathrm{14}}$ (where the only magnetic ions are Co$^{\mathrm{2+}}$ and Mn$^{\mathrm{2+}}$ respectively) have been prepared and studied. Despite being isostructural to Ba$_{\mathrm{3}}$NbFe$_{\mathrm{3}}$Si$_{\mathrm{2}}$O$_{\mathrm{14}}$, the dugganites exhibit a rich variety of magnetic behavior, including evidence for multi-k magnetic structural arrangements, long-range coexistence of static and dynamic spins, and spin-spin interactions that potentially exist over 150 unit cells. In at least one dugganite, magnetoelectric coupling was observed at T$_{\mathrm{N}}$ entertaining the possibility that these compounds may also be multiferroic. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C15.00009: Magnetic structure and excitations in BaV$_{10}$O$_{15}$ Sachith Dissanayake, Jooseop Lee, Kazuki Iida, Matthew Stone, Masaaki Matsuda, Tomomasa Kazita, Takuro Katsufuji, Seunghun Lee Recently, new type of frustrated magnets, BaV$_{10}$O$_{15}$ and SrV$_{10}$O$_{15}$, were found to exhibit interesting physics due to the magnetic V$^{2.8+}$ ions with mixed valence. Using elastic and inelastic neutron scattering measurements we have examined the magnetic structure and excitations of BaV$_{10}$O$_{15}$. Magnetic excitations show highly dispersive two modes along c axis. Furthermore, two excitations are dispersionless along the a-axis. And very interestingly, along the b-axis one excitation is dispersionless while the other is strongly dispersive. Magnetic ground state of BaV$_{10}$O$_{15}$ was studied using neutron powder diffraction data, which order below 45 K with magnetic wave vector Q$_{\mathrm{m}}=$(1/2 0 0). Here we present the possible magnetic structures of BaV$_{10}$O$_{15}$ using representation analysis, which can explain both the magnetic diffraction data and the basic features of the magnetic excitations observed in different directions. Linear spinwave calculations were also performed to shed light in understanding an effective spin hamiltonian for this system. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C15.00010: Microscopic modeling of the 3D quantum magnet Cu$_2$OSeO$_3$ Oleg Janson, Ioannis Rousochatzakis, Ulrich Roessler, Jeroen van den Brink, Alexander Tsirlin, Helge Rosner Unlike most undoped cuprates, the $S=1/2$ Heisenberg magnet Cu$_2$OSeO$_3$ exhibits a ferrimagnetic ground state and sizable magnetoelectric coupling. Recent experiments reported magnetic-field-induced emergence of skyrmions in this material. Based on extensive DFT band structure calculations we evaluate the microscopic magnetic model, including isotropic (Heisenberg) and anisotropic (Dzyaloshinskii-Moriya) terms. We extract five relevant couplings that form a complex, but non-frustrated spin model which can be described as a pyrochlore lattice of magnetic tetrahedra. A peculiar feature of this lattice is the alternation of ``strong'' (the constituent spins are strongly coupled) and ``weak'' tetrahedra. Profiting from a separation of the energy scales we develop an effective model, treating strong tetrahedra either as a classical $S=1$ object or as a coherent quantum superposition of classical states. For the latter case, we find an excellent agreement with the quantum Monte Carlo simulations of the full model and the experimental magnetization and neutron diffraction data. Quite surprising for a 3D model we find distinct manifestations of quantum fluctuations. The developed effective model can be further used to model the field-induced behavior including the formation of skyrmions. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C15.00011: Frustration by competing interactions in the highly-distorted double perovskites La$_2$NaRuO$_6$ and La$_2$NaOsO$_6$ A.A. Aczel, D.E. Bugaris, L. Li, J.-Q. Yan, C. de la Cruz, H.-C. zur Loye, S.E. Nagler The usual classical behavior of S = 3/2, B-site ordered double perovskites results in simple, commensurate magnetic ground states. In contrast, heat capacity and neutron powder diffraction measurements for the S = 3/2 systems La$_2$NaB'O$_6$ (B' = Ru, Os) reveal an incommensurate magnetic ground state for La$_2$NaRuO$_6$ and a drastically suppressed ordered moment for La$_2$NaOsO$_6$. This behavior is attributed to the large monoclinic structural distortions of these double perovskites. The distortions have the effect of weakening the nearest neighbor superexchange interactions, presumably to an energy scale that is comparable to the next nearest neighbor superexchange. The exotic ground states in these materials can then arise from a competition between these two types of antiferromagnetic interactions, providing a novel mechanism for achieving frustration in the double perovskite family. Work at ORNL is supported by the Division of Scientific User Facilities and the Materials Science and Engineering Division, DOE Basic Energy Sciences. Work at the University of South Carolina is supported by the Heterogeneous Functional Materials Research Center, funded by DOE under award number de-sc0001061. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C15.00012: Magnetostriction and magnetic texture to 100.75 Tesla in frustrated SrCu$_2$(BO$_3$)$_2$ M. Jaime, R. Daou, S.A. Crooker, F. Weickert, A. Uchida, A.E. Feiguin, C.D. Batista, H.A. Dabkowska, B.D. Gaulin SrCu$_2$(BO$_3$)$_2$, a spin-1/2 Heisenberg antiferromagnet in the archetypical Shastry-Sutherland lattice, exhibits a rich spectrum of magnetization plateaus and stripe-like magnetic textures in applied fields. The structure of these plateaus is still highly controversial due to the intrinsic complexity associated with frustration and competing length scales. We discover magnetic textures in SrCu$_2$(BO$_3$)$_2$ via FBG-optical fiber based magnetostriction and magnetocaloric measurements in fields up to 100.75 T. In addition to observing low-field fine structure with unprecedented resolution, the data also reveal lattice responses at 73.6 T and at 82 T that we attribute, using a controlled density matrix renormalization group approach, to a unanticipated 2/5 plateau and to the long-predicted 1/2 plateau. Research supported by NSF, State of Florida and the US DOE Basic Energy Science project ``Science at 100T.'' ref: M. Jaime et al., {\it PNAS} {\bf 109}, 120404 (2012). [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C15.00013: Antiferromagnetism, structural instability and frustration in intermetallic AFe$_4$X$_2$ systems Helge Rosner, Christoph Bergmann, Katharina Weber, Inga Kraft, N. Mufti, Hans-Henning Klauss, T. Dellmann, T. Woike, Christoph Geibel Magnetic systems with reduced dimensionality or frustration attract strong interest because these features lead to an increase of quantum fluctuations and often result in unusual properties. Here, we present a detailed study of the magnetic, thermodynamic, and structural properties of the intermetallic $A$Fe$_4$X$_2$ compounds ($A$=Sc,Y,Lu,Zr; X=Si,Ge) crystallizing in the ZrFe$_4$Si$_2$ structure type. Our results evidence that these compounds cover the whole regime from frustrated AFM order up to an AFM quantum critical point. Susceptibility $\chi$(T), specific heat, resistivity, and T-dependent XRD measurements were performed on polycrystalline samples. In all compounds we observed a Curie-Weiss behavior in $\chi$(T) at high T indicating a paramagnetic moment of about 3$\mu_B$/Fe. Magnetic and structural transitions as previously reported for YFe$_4$Ge$_2$ occur in all compounds with trivalent $A$. However, transition temperatures, nature of the transition as well as the relation between structural and magnetic transitions change significantly with the A element. Low $T_N$'s and large $\theta_{CW}/T_N$ ratios confirm the relevance of frustration. The results are analyzed and discussed with respect to electronic, structural and magnetic instabilities applying DFT calculations. [Preview Abstract] |
Session C16: Focus Session: Spin Dynamics and EPR
Sponsoring Units: GMAG DMPChair: Stephen Hill, Florida State University and NHMFL
Room: 318
Monday, March 18, 2013 2:30PM - 2:42PM |
C16.00001: Synthesis and Physical Characterization of thin silicondioxide (SiO$_{2})$ layers with very high densities of E' centers K. Ambal, A. Payne, D.P. Waters, C. Williams, C. Boehme E' centers are paramagnetic (s$=$1/2) electronic states which are due to silicon dangling bonds in a-SiO$_{2}$ [1]. E' centers are able to trap electric charge, which can be detrimental to the performance of silicon based electronic devices. Therefore, most previous studies of E' centers have focused on a-SiO$_{2}$ layers with low E' center densities and material preparation techniques that allow to minimize it. Here, we present a study aiming at the opposite, the question of how E' center densities in a-SiO$_{2}$ can be maximized and whether E' centers in higher densities still exhibit similar spin dynamics (relaxation rates) in comparison to SiO$_{2}$ with low E' center densities. This study has been motivated by the need for a dielectric material containing very high spin densities as needed for single spin detection techniques. It is shown in this study that E' centers can be created at densities above $\sim$ 10$^{19}$ cm$^{-3}$ through exposure of a thin thermal oxide sample to an rf plasma containing Ar at low pressure. Most of the E' centers were found within 20 nm to 30 nm of the SiO$_{2}$ surface. While the high E' center densities can be annealed completely at 300 $^{\circ}$C, they are very stable at room temperature. Spin relaxation time measurements show that $T_{2}$ of high density E' centers does not strongly depend on temperature and $T_{1}$ is $\sim$ 600$\mu $s at 5K with an increase towards lower densities [1]. At room temperature$ T_{1}$ is $\sim$ 160$\mu $s, which agrees well with values found in literature for E' centers at low densities [2].\\[4pt] [1] J. G. Castle, \textit{J. Appl. Phys}. \textbf{36}, 124 (1965).\\[0pt] [2] S. S. Eaton, \textit{J. of Mag. Res. Series A, }\textbf{102}, 354-356 (1993). [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C16.00002: Towards force detected single electron spin resonance at room temperature C.C. Williams, A. Payne, K. Ambal, C. Boehme Electrically detected magnetic resonance (EDMR) spectroscopy has shown that electron tunneling at or within silicon dioxide layers is strongly dependent on spin-selection rules [1]. Also demonstrated is the detection of single electron tunneling events by electrostatic force with sub-nanometer spatial resolution [2,3]. Here we propose to combine force detected single electron tunneling microscopy with EDMR to demonstrate a new kind of single spin force microscope. This approach has much better sensitivity than magnetic force based single spin microscopes [4], since electrostatic forces are much larger than corresponding magnetic forces. In this method, a paramagnetic state in an oxidized AFM probe tip is brought within tunneling range of a paramagnetic state in an oxide surface [5]. Under appropriate energy conditions, one of the unpaired electrons can randomly tunnel between the two states causing a random telegraph signal (RTS) to appear on the AFM cantilever frequency. Simulations predict that if magnetic resonance conditions are achieved, a measurable change in the RTS signal is detectable at room temperature. The theory and a quantitative simulation of this atomic scale spin resonance measurement will be presented, along with experimentally observed random telegraph signals.\\[4pt] [1] D. R. McCamey, et al., \textit{Phys. Rev. B}, \textbf{78}, 045302 (2008). [2] L. J. Klein and C.C. Williams, \textit{Appl. Phys. Lett.}\textbf{ 79}, 1828 (2001). [3] E. Bussmann and D.J. Kim, and C.C. Williams, \textit{Appl. Phys. Lett.} \textbf{85}, 2538 (2004). [4] D. Rugar et al., \textit{Nature} \textbf{430}, 329 (2004). [5] J.P. Johnson, Ph.D. Thesis, Dept. of Physics, University of Utah (2010). [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C16.00003: Local control of single-electron spin using spin-orbit coupling Miguel Angel Rodriguez-Moreno, Lilia Meza-Montes, David Hernandez de la Luz It has been demonstrated that CNOT quantum gates combined with single qubit operations form a universal set for quantum computing. In spin-based quantum qubits both conditions can be achieved by using a double quantum dot with two electrons. This configuration also allows for the realization of a completely electrical control of the spins, provided that hyperfine and spin-orbit interactions exist in the system. In this work, we simulate numerically the dynamics of the spin of two electrons in a double quantum dot. We use a combination of finite differences, direct diagonalization and a time propagator approach in order to solve the time-dependent two-electron Schr\"odinger equation. The single qubit operation is simulated by bringing the system into a separated charge state and then applying a time-varying electric field locally to one of the dots. It is shown that the spin-orbit coupling induces Rabi oscillations and that the frequency and amplitude of these oscillations can be varied by changing the magnitudes of the electric and static magnetic fields. We also analyze the role of the direction of the static magnetic field; in particular, we determine the variation of the spin dynamics with respect to direction of an in-plane static magnetic field. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C16.00004: Analytical description of spin-Rabi oscillation controlled electronic transitions rates between weakly coupled pairs of paramagnetic states with S=(1/2) Rachel Glenn, William Baker, Christoph Boehme, Mikhail Raikh We study theoretically and experimentally the Fourier content, ${\mathcal {\bf F}} (s)$, of the Rabi oscillations in photoconductivity coming from pairs of spin-$\frac{1}{2}$ localized carriers. Upon increasing the ac drive, the Fourier spectrum evolves from a single peak at $s= \Omega_R$, where $\Omega_R$ is the Rabi frequency, to {\em three} peaks at $s= \Omega_R$, $s=2\Omega_R$, and at low $s\ll \Omega_R$. The crossover between the two regimes takes place when $\Omega_R$ exceeds the broadening, $\delta_0$, of Zeeman levels due to disorder, e.g., hyperfine field. We capture this crossover within the analytical treatment by calculating the shapes of all three peaks at arbitrary relation between $\Omega_R$ and $\delta_0$. When the peaks are well-developed their widths are ${\Delta} s \sim \delta_0^2/\Omega_R$. Good agreement of theory and experiment allowed us to infer the experimental value of $\delta_0$. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C16.00005: The quantum to classical transition in atomic scale magnets Fernando Delgado, Joaquin Fernandez-Rossier Understanding the emergence of classical behavior in a world governed by quantum mechanics at the microscopic scale is one of the main fundamental open problems in physics. The radical differences between the two behaviors is dramatically represented by quantum systems that are, at the same time, in two classically different states. The quantum to classical transition is empirically linked to the size of the systems and conceptually related to the concept of environmental decoherence [1], but no general and clear rules have been determined. Here we consider it in the context of atomically engineered magnetic nanostructures [2,3] and we address fundamental questions such as the conditions under which a single adatom can behave classically or quantum mechanically. We show that the phase transition depends on the relative strength of its exchange coupling to surface and the renormalized zero-field splitting induced by quantum spin tunneling.\\[4pt] [1] W. H. Zurek, Physics Today 44, 36 (1991).\\[0pt] [2] C. F. Hirjibehedin et al., Science 312, 1021 (2006).\\[0pt] [3] C. Hirjibehedin et al., Science 317, 1199 (2007). [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C16.00006: Spin Fluctuation and Coherence in Concentrated systems Johan van Tol, Jingfang Wang, Zhenxing Wang, Susumu Takahashi In materials with a relatively high density of electron spins without direct exchange pathways, the spin decoherence tends to be dominated by dipolar-interaction mediated spin-exchange/diffusion processes. These spin exchange processes will significantly be reduced at high magnetic fields and low temperatures when the spin polarization approaches the saturation limit. We will show some examples of single crystals of molecular magnetic complexes in which the decoherence is measured experimentally at high frequencies, and which form a reference for direct theoretical models that predict the spin decoherence in these systems, and their dependence on orientation, temperature and field. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C16.00007: High Field Electron Paramagnetic Resonance (HFEPR) study on a Mn(IV) monomer Asma Amjad, Enrique del Barco, Stephen Hill, Johan Van Tol, Andrzej Ozarowski, Mahammad Ali In this work we investigated the magnetic anisotropy of a Mn (IV) monomer via axial and rhombic zero field splitting terms $D, E$. The d$^{3}$ ion sits in an octahedral environment in a P 21/c space group. The complex is studied via single crystal and powder HFEPR over a wide range of frequencies 49GHz to 416GHz and temperatures 2 to 60K. The angle dependence at low temperature and frequency ($\sim$88GHz) reveals a minimum of the resonance field, when the long axis of the crystal is along the magnetic field. The same behavior is observed at higher frequency ($\sim$240GHz). Furthermore, pulse EPR experiments in high frequency quasi-optical spectrometer at low temperature ($\sim$1.487K) a spin echo could be observed and we were able to observe the variation of the T$_{2}$ times as a function of the magnetic field orientation, and as a function of the temperature. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C16.00008: Cavity Perturbation Technique: The Effects of Crystal Size on the EPR Spectra of Fe$_{8}$ Single-molecule Magnets Muhandis Shiddiq, Christopher C. Beedle, Stephen Hill The Cavity Perturbation Technique (CPT) is a contact-free technique that measures the change of the characteristics of a cavity resonator upon the introduction of the sample. In this experiment, we study the effect of crystal size with regards to the CPT transmission spectra for a single crystal of the Fe$_{8}$ single-molecule magnets. It is interesting to study the interaction between these two resonance systems, i. e. a cavity and a crystal of Fe8. We want to know whether it is a quantum mechanical or a classical interaction. The frequency shift and suppression of the cavity Q value increase linearly with increasing sample size. These observations are in agreement with the theoretical expectation for a classical coupling between the Fe$_{8}$ crystal and the cavity. From cavity perturbation theory, these phenomena may be explained by the following classical formula: $\Delta \omega $/$\omega \quad =-\beta \chi $, where $\omega $ is the complex frequency, $\beta $ is the filling factor that depends on the sample volume and the resonant mode of the cavity, and $\chi $ is the complex susceptibility. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C16.00009: Single molecule magnets from magnetic building blocks W. Kroener, A. Paretzki, C. Cervetti, S. Hohloch, S. Rauschenbach, K. Kern, M. Dressel, L. Bogani, P. M\"{u}ller We provide a basic set of magnetic building blocks that can be rationally assembled, similar to magnetic LEGO bricks, in order to create a huge variety of magnetic behavior. Using rare-earth centers and multipyridine ligands, fine-tuning of intra and intermolecular exchange interaction is demonstrated. We have investigated a series of molecules with monomeric, dimeric and trimeric lanthanide centers using SQUID susceptometry and Hall bar magnetometry. A home-made micro-Hall-probe magnetometer was used to measure magnetic hysteresis loops at mK temperatures and fields up to 17 T. All compounds show hysteresis below blocking temperatures of 3 to 4 K. The correlation of the assembly of the building blocks with the magnetic properties will be discussed. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:54PM |
C16.00010: Theoretical calculations of spin dynamics and quantum effects in rare earth SMMs Invited Speaker: Alejandro Gaita-Ari\~no Rare-earth single-molecular magnets constitute a hot emerging topic in molecular magnetism. It also constitutes a promising field to study and eventually remedy the processes that lead to decoherence. In fact, experiments show some success in the design of rare-earth spin qubits with long coherence times. Furthermore, these long-lived quantum states of rare-earth SMMs can in principle be manipulated for quantum information processing. In particular, a simple quantum error correction protocol might be realizable using ElectroNuclear DOuble Resonance. Going further on this path will require a detailed knowledge of the wave function of the low-energy multiplet, and an understanding of how it can be tailored by chemical means. An inexpensive point-charge model has been presented recently that is able to reproduce the main features of the Crystal Field Hamiltonian of both lanthanoids (such as Dysprosium, Holmium, Terbium) and actinoids such as Uranium. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C16.00011: Probing magnetic interactions in molecule-based materials using high-pressure electron paramagnetic resonance K. Thirunavukkuarasu, C.C. Beedle, S. Winter, A. Kovalev, S. Tozer, R.A. Oakley, S. Hill Multi-frequency electron paramagnetic resonance (EPR) spectroscopy is a powerful technique for investigating magnetic exchange interactions in quantum matter. EPR spectroscopy when combined with techniques such as high pressure will enable us to probe various quantum phase transitions that give rise to novel electronic and magnetic phases in correlated electron systems. However, this particular combination of experimental tools has remained uncommon for several decades. Recently, our group has successfully implemented high pressure technique together with EPR spectroscopy. Cavity-based high-frequency EPR measurements can now be performed in the frequency range from 40~GHz to 200~GHz at temperatures down to 1.6~K under quasi-hydrostatic pressures up to 30~kbar. With the application of pressure, the inter-atomic/molecular correlations can be tuned continuously to reveal the nature of magnetic anisotropy and exchange interaction. In this talk, the realization of high pressure EPR spectroscopy will be briefly described using one of the molecule-based materials such as single-molecule magnet, organic radical-based ferromagnet etc., as an example. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C16.00012: Magnetoelectric coupling in 4, 4'-stilbenedinitrene J.L. Musfeldt, O. Gunaydin-Sen, P. Chen, J. Fosso-Tande, T. Allen, J. Cherian, T. Tokumoto, S. McGill, P.M. Lahti, R.J. Harrison We investigated the optical properties of 4,4${'}$-stilbenedinitrene at low temperature and in high magnetic fields and compared the results with complementary first principles calculations. Both physical tuning parameters allow us to manipulate the singlet-triplet equilibrium, and by so doing, control the optical contrast (which is on the order of -2.5$\times$10$^2$ cm$^{-1}$ at 555 nm and 35 T). Moreover, analysis of the magneto-optical response using a combined population and Beer's law framework reveals the singlet-triplet spin gap and identifies particular features in the absorption difference spectrum as deriving from singlet or triplet state excitations. These findings deepen our understanding of coupling in open shell molecules and show how highlight opportunities where chemical structure modification can amplify charge-spin interactions in organic biradicals. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C16.00013: Effective model and spin/charge ordering in molecular conductors X[Pd(dmit)$_2$]$_2$ Hitoshi Seo, Takao Tsumuraya, Masahisa Tsuchiizu, Tsuyoshi Miyazaki, Reizo Kato The family of molecular conductors, $\beta$'-type X[Pd(dmit)$_2$]$_2$ (X: monovalent cation) salts, show a variety of electronic states: dimer-type Mott insulator, magnetic order, spin-liquid behavior, metallic/superconducting states, and a peculiar charge ordering involving multi-orbitals[1]. In this work, we construct an effective low-energy model which takes into account the multi-orbital degree of freedom. We consider fragments of molecular orbital as a basis set, nearly localized on either one of the dmit ligands. The transfer integrals are obtained for a series of salts by fitting to the first-principles band calculations[2]. We find that all the intra-dimer transfer integrals including the diagonal ones are of the same order; this results in a modification of the orbital scheme in strongly dimerized [Pd(dmit)$_2$]$_2$ discussed in the literatures, then to the effective one-band model. We calculate possible spin and charge ordering based on mean-field approximation to the extended Hubbard model incorporating the fitted parameters. [1] R. Kato, Chem. Rev. 104 (2004) 5319; K. Kanoda and R. Kato, Annu. Rev. Condens. Matter Phys. 2 (2011) 167. [2] T. Miyazaki and T. Ohno, Phys. Rev. B 59 (1999) 5269; T. Tsumuraya, H. Seo, M. Tsuchiizu, R. Kato, and T. Miyazaki, in preparation. [Preview Abstract] |
Session C17: Magnetic Theory I
Sponsoring Units: GMAGChair: Marcu Eisenbach, Oak Ridge National Laboratory
Room: 319
Monday, March 18, 2013 2:30PM - 2:42PM |
C17.00001: First principles calculation of finite temperature magnetism in Ni Markus Eisenbach, Junqi Yin, Don M. Nicholson, Ying Wai Li We harnesses the computational power of massively parallel computers to calculate finite temperature magnetic properties by combining classical Monte-Carlo calculations with our first principles multiple scattering electronic structure code (LSMS) for constrained magnetic states. Our previous calculations of Fe and $\mathrm{Fe_3C}$ [J. Appl. Phys. 109, 07E138 (2011)] only considered fluctuations in the local moment directions. Recent advances, both in the understanding of the Wang-Landau method used in our calculations [Phys. Rev. E 84, 065702(R) (2011)] and more powerful computing resources have enabled us to investigate Ni where the fluctuation in the magnitude of the local magnetic moments is of importance equal to their directional fluctuations. Here we will present our recent results for Ni that axpands our method to an even wider class of 3d element based ferromagnets. This research was sponsored by the Offices of Basic Energy Science (M.E. and D.M.N) and the Office of Advanced Computing Research (J.Y. and Y.W.L) of the US Department of Energy. This research used resources of the Oak Ridge Leadership Computing Facility at Oak Ridge National Laboratory, which is supported by the Office of Science of the Department of Energy under contract DE-AC05-00OR22725. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C17.00002: Sheared Ising models in three dimensions Alfred Hucht, Sebastian Angst The nonequilibrium phase transition in sheared three-dimensional Ising models is investigated using Monte Carlo simulations in two different geometries corresponding to different shear normals [A. Hucht and S. Angst, EPL 100, 20003 (2012)]. We demonstrate that in the high shear limit both systems undergo a strongly anisotropic phase transition at exactly known critical temperatures $T_c$ which depend on the direction of the shear normal. Using dimensional analysis, we determine the anisotropy exponent $\theta=2$ as well as the correlation length exponents $\nu_\parallel=1$ and $\nu_\perp=1/2$. These results are verified by simulations, though considerable corrections to scaling are found. The correlation functions perpendicular to the shear direction can be calculated exactly and show Ornstein-Zernike behavior. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C17.00003: Heat capacity and new classification of phase transitions of fractional order: Ising model Vladimir Udodov Though the one-dimensional Ising model has been the subject of a wide variety of analysis, it remains one of significant interest. Here we show that within the framework of Gibbs distribution this model can undergo fractional and arbitrarily high order phase transitions (PT) as the temperature changes at zero magnetic field. We suggest a new formula to define the order of PT for a special case of $T_{c} =$ 0; it is expressed via the critical exponent $\alpha $ associated with the heat capacity C. The unusual values of $\alpha $ (for example, $\alpha $ \textless $-$10) are predicted. An interesting transition from 2D to 1D Ising model is considered. It corresponds the situations when the inter-particle interaction is gradually switched off along one of two dimensions. As the system approaches the 1D limit, the critical temperature T$_{\mathrm{c}}$ tends to zero during which the critical exponent $\alpha $ changes continuously . The general formula for an order of PT offered extending formula R. Baxter and it is correct as for $T_{C}$ \textgreater\ 0 and $T_{C} =$ 0. The developed approach is equally applicable to quantum phase transitions. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C17.00004: Finite-size scaling behavior of the magnetization distribution for 5d Ising model P. H. Lundow, A. Rosengren We have previously established that the magnetization distribution of the 5-dimensional Ising model can be fitted by a $p,q$-binomial distribution. Our extensive sampled Monte Carlo data can be used to determine the parameters' finite-size behavior. Now we use a long series expansion of the $p,q$-binomial coefficients to obtain finite-size scaling formulas not only for the Binder ratio and the susceptibility near $T_c$, but also for the entire magnetization distribution, including corrections-to-scaling terms. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C17.00005: E8 spectrum and the finite temperature spin dynamics in the transverse field Ising chain with a small longitudinal field Jianda Wu, Marton Kormos, Qimiao Si When the transverse field Ising chain at its quantum critical point is subjected to a small longitudinal field, the perturbed conformal field theory led to a field theory with an exotic E8 symmetry [1]. Recent neutron scattering experiments have provided evidence for the lightest two particles in this E8 model in the quasi-1D Ising ferromagnet CoNb2O6 [2]. While the zero temperature dynamics of the model is well known, its finite-temperature counterpart has not yet been systematically studied. We study the low-frequency dynamical structure factor at finite temperatures using the form-factor method. We show that the dominant contribution to the dynamical structure factor comes from the scattering between two lightest particles, and discuss the implications of our results for the NMR relaxation rate. [1]A.B.Zamolodchikov, Int. J. Mod. Phys. A4, 4235(1989) [2]R. Coldea et al, Science 327, 177 (2010) [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C17.00006: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 3:42PM - 3:54PM |
C17.00007: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 3:54PM - 4:06PM |
C17.00008: Critical Point Estimation and Long-Range Behavior in the One-Dimensional XY Model Using Thermal Quantum and Total Correlations Baris Cakmak, Goktug Karpat, Zafer Gedik We investigate the thermal quantum and total correlations in the anisotropic XY spin chain in transverse field. While we adopt concurrence and geometric quantum discord to measure quantum correlations, we use measurement-induced nonlocality and an alternative quantity defined in terms of Wigner-Yanase information to quantify total correlations. We show that the ability of these measures to estimate the critical point at finite temperature strongly depend on the anisotropy parameter of the Hamiltonian. We also identify a correlation measure which detects the factorized ground state in this model. Furthermore, we study the effect of temperature on long-range correlations. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C17.00009: General method for finding ground state manifold of classical Heisenberg model Zhaoxi Xiong, Xiao-Gang Wen What is the ground state manifold of a classical Heisenberg model for an infinite crystal? It sounds simple, but the intuitive approach gets stuck for more general interaction patterns and higher crystal dimensions. In this paper we present an essentially analytical method that can deal with all systems with one-spin unit cells and a broad class of systems with multi-spin unit cells. We also prove a theorem that guarantees that these systems must have some ``spiral ground states,'' which are co-planar. The method can be applied to classify all such systems, so that one can read off the ground state manifold of a Hamiltonian from some of its ``spectral properties.'' It can also be generalized to XY models, finite crystals, and anisotropic couplings, and may be helpful for quantum anomalous Hall effect and spin liquids. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C17.00010: Invariant correlation entropy as a signature of quantum phase transitions in spin-1/2 systems Davida Kollmar, Lea Santos The invariant correlation entropy was introduced in the context of nuclear physics as a way to quantify the degree of complexity of quantum states. Contrary to the Shannon information entropy or the inverse participation ratio, this entropy is basis independent. We show that it peaks in critical regions and can therefore be used to signal quantum phase transitions. Our findings are based on the numerical analysis of one-dimensional spin-1/2 systems described by different Heisenberg models and by the anisotropic XY model in a transverse magnetic field. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C17.00011: The Integrable Chiral Potts Model: Quantum Group Methods Applied to Superintegrable Case Jacques H.H. Perk, Helen Au-Yang The integrable chiral Potts model resulted in the 1980s from a search of new solutions of the star-triangle (Yang--Baxter)\break equations for spin models with expected parafermionic excitations. Its structure relates to cyclic representations of quantum groups at roots of unity, while the so-called superintegrable subcase has additional Onsager algebra structure. Recently the authors have utilized this quantum algebraic information, to derive detailed explicit results for the eigenvectors in the ground state sectors and give new information for the eigenvectors in general. One result is the explicit derivation of the spontaneous magnetization without hidden assumptions, as both conjectures made earlier have now been proved. The explicit eigenvectors also lead to some results for correlation functions. We shall present a review of what has been done so far and discuss the current status of the research.\\ \\ Helen Au-Yang and Jacques H.H. Perk, J. Phys. A: Math. Theor. {\bf 41}, 275201 (2008); {\bf 42}, 375208 (2009); {\bf 43} (2010) 025203 (2010); {\bf 44} 025205 (2011); {\bf 44}, 445005 (2011); arXiv:1108.4713; arXiv:1210.5803. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C17.00012: Accounting for spin fluctuations beyond LSDA in the density functional theory Luciano Ortenzi, Igor I. Mazin, Peter Blaha, Lilia Boeri We present a method to correct the magnetic properties of itinerant systems in local spin density approximation (LSDA) and we apply it to the ferromagnetic-paramagnetic transition under pressure in a typical itinerant system, Ni$_{3}$Al. We obtain a scaling of the critical fluctuations as a function of pressure equivalent to the one obtained within Moryia's theory. Moreover we show that in this material the role of the bandstructure is crucial in driving the transition. Finally we calculate the magnetic moment as a function of pressure, and find that it gives a scaling of the Curie temperature that is in good agreement with the experiment. The method can be easily extended to the antiferromagnetic case and applied, for instance, to the Fe-pnictides in order to correct the LSDA magnetic moment. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C17.00013: First-principles investigation of deviations from Matthiessen's rule due to the interplay of phonon and spin disorder scattering in iron and gadolinium James Glasbrenner, Kirill Belashchenko Magnetic materials contain an anomalous contribution to the electrical resistivity due to thermal spin fluctuations, which saturates in the disordered phase and is called the spin-disorder resistivity (SDR). Experimental determination of the SDR involves fitting to high-temperature resistivity data and extrapolating to T=0 K. Recent calculations of the SDR of the heavy rare-earth metals revealed strong underestimations of this quantity, particularly for Gd, while the results for transition metals were in good agreement with experiments. In order to understand this discrepancy, here we evaluate the mutual effects of phonon and spin-disorder scattering in Fe and Gd. Calculations are performed using the supercell approach within the linear muffin-tin orbital method. The atomic positions are displaced according to the Gaussian distribution, and the resistivity is evaluated as a function of the mean-square displacement $\Delta^2 \propto T$. The deviations from Matthiessen's rule (DMR) are large in Gd and moderate in Fe. Fitting the linear region of $\rho$ vs $\Delta^2$ in Gd yields an intercept $\sim 2.5$ times larger than the ``bare'' SDR, significantly improving the agreement with experiment. Large DMR suggest large variations of the relaxation time on the anisotropic Fermi surface. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C17.00014: Quantum torus chain Mingpu Qin, Jon Magne Leinaas, Shinsei Ryu, Eddy Ardonne, Tao Xiang, Dung-Hai Lee We introduce a set of one-dimensional quantum lattice models which we refer to as the quantum torus chain. These models have discrete global symmetry and projective on-site representations. They possess an integer-valued parameter which controls the presence or absence of frustration. Depending on whether this parameter is even or odd, these models exhibit either gapped symmetry-breaking phases with isolated critical points or gapped symmetry-breaking phases separated by gapless phases.We discuss the property of these phases and phase transitions for two special values of the parameter and point out many open problems. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C17.00015: Exchange and Magnetic Anisotropic Interactions of Magnetic Ions in Antiferromagnetic Materials Alexander Bazhan Investigations of antiferromagnetic orderings, based on theory of crystallographic and magnetic symmetry, which indicates quadratic forms of thermodynamic potentials, invarianted with respect to operations of magnetic symmetry groups and presented in irreducible representations of magnetic moments, are caring out, using vector magnetometer, introducing $\chi \cdot $(1$-\chi_{\mathrm{//\thinspace }}$(\textbf{l}$_{\mathrm{i}}^{2})$/$\chi )\cdot $( $\gamma_{\mathrm{i}}$\textbf{H})$^{2}$ terms in discussions. Magnetic field dependencies of samples three magnetic moments components directly indicate magnetic ions interactions. Symmetric, Anderson, and antisymmetric, Dzyaloshinskii-Moria, exchange interactions in antiferromagnetic orderings, in rhombohedral structures, as example, H$_{\mathrm{ex}}=\sum_{\mathrm{i,j}}$J$_{\mathrm{i,j}}\cdot $(\textbf{S}$_{\mathrm{i}}$\textbf{S}$_{\mathrm{j}})-\sum _{\mathrm{i,j}}$D$_{\mathrm{i,j,z}}\cdot $(\textbf{S}$_{\mathrm{i,x}}$\textbf{S}$_{\mathrm{j,y}}-$\textbf{S}$_{\mathrm{i,y}}$\textbf{S}$_{\mathrm{j,x}})$, determine weak ferromagnetic states at selected orientations of antiferromagnetic vectors. Weak ferromagnetic states, of second and higher orders interactions of magnetic ions, are presented in the report. [Preview Abstract] |
Session C18: Focus Session: Spin-Dependent Phenomena in Semiconductors - Spin Injection and Transport
Sponsoring Units: GMAG DMP FIAPChair: Paul Crawell, University of Minnesota
Room: 320
Monday, March 18, 2013 2:30PM - 2:42PM |
C18.00001: Optical spin injection into Ge at room temperature Yuhsuke Yasutake, Shuhei Hayashi, Susumu Fukatsu A realistic opto-spintronic device draws on the knowledge and control over the optical orientation at room temperature. We investigated circularly polarized photoluminescence of tensilely strained Ge-on-Si in an attempt to inject spins into Ge by optical means at ambient temperature. More than 10-\% polarization was observed up to 300 K. The absence of indirect-gap luminescence facilitated spectral analysis. Meanwhile, very fast decay was observed, unlike bulk Ge with little spin polarization. This indicates that intervalley scattering has diminished in Ge-on-Si due presumably to dissipative channels introduced during growth. It is concluded that spin coherence remains in a short time scale. This led us to optical injection of spins, O(1\%), in bulk Ge at room temperature, which was observed in the prompt decay. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C18.00002: Spin accumulation in Ge at room temperature A.T. Hanbicki, S.-F. Cheng, R. Goswami, O.M.J. van 't Erve, B.T. Jonker We have investigated spin injection into n-type Ge(001) from Fe through a sputter-deposited MgO tunnel barrier using 3-terminal Hanle measurements[1]. Unlike Si, spin research in Ge is hampered by Fermi level pinning at the Ge interface, which makes it difficult to efficiently inject carriers. We observe here precessional dephasing of the spin accumulation in an applied B-field (Hanle effect) in Fe/MgO/Ge for both forward and reverse bias. At room temperature, spin lifetimes range from $\tau$$_{s}$ = 50 ps to 123 ps as the carrier concentration is reduced from n=8x10$^{17}$cm$^{-3}$ to 2x10$^{16}$cm$^{-3}$. The measured spin resistance-area product is in good agreement with values predicted by theory for samples with carrier densities below the metal-insulator transition (MIT), but 100x larger for samples above the MIT. These data demonstrate that measured spin accumulation occurs in the Ge, although dopant-derived interface or band states may enhance the measured spin voltage above the MIT. The observed room temperature injection of spins shows that despite persistent Fermi level pinning, spin accumulation is possible in the surface region of Ge. This work was supported by core programs at NRL.$\\$ [1] A.T. Hanbicki, et al., $\it{Solid State Comm.}$ $\textbf{152}$, 244 (2012). [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C18.00003: Crossover from Spin Accumulation into Interface States to Spin Injection in the Germanium Conduction Band Juan-Carlos Rojas-Sanchez, Abhinav Jain, Murat Cubukcu, Julian Peiro, Jean-Christophe Le Breton, Eric Prestat, C\'eline Vergnaud, Pascale Bayle-Guillemaud, Laurent Vila, Jean-Philippe Attan\'e, Emmanuel Augendre, Serge Gambarelli, Henri Jaffr\`es, Jean-Marie George, Matthieu Jamet Spin injection into semiconductors is crucial for exploring spin physics and new spintronic devices. Ge is of great interest for high carrier mobilities, long spin diffusion length and large spin-orbit coupling to perform electric field spin manipulation. However the exact role of interface states in spin injection mechanism in n-Ge has not been clarified yet. Here we show a clear transition from spin accumulation into interface states to spin injection in the Ge conduction band. For this purpose, we have grown CoFeB/MgO as a spin injector on Germanium On Insulator. We observe spin signal amplification at low temperature due to spin accumulation into interface states. At 150 K, we find a clear transition to spin injection in the conduction band up to room temperature: the measured spin signal is compatible with the spin diffusion model. We could in particular demonstrate spin signal modulation applying a back gate voltage and spin-pumping by the ferromagnetic resonance of the CoFeB layer which are clear manifestations of spin accumulation in the Ge conduction band. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C18.00004: Electrical Spin Injection and Detection in Silicon Nanowires Shixiong Zhang, Shadi Dayeh, Yan Li, Scott A. Crooker, Darryl L. Smith, S. T. Picraux We report on the electrical injection and detection of spin-polarized electrons from cobalt contacts into n-type Si nanowires through Al2O3 tunneling barriers. Analysis of local (2 terminal) and non-local (4 terminal) spin valve signals at 4 K on the same nanowire device using a standard spin-transport model suggests that high spin injection efficiency ( about 30{\%}) and long spin diffusion lengths (about 6 micron) are achieved for these nanoscale structures. These values compare favorably to those reported for spin transport devices based on comparably-doped bulk Si. The spin valve signals are strongly influenced by temperature, bias current and by the geometry of the ferromagnetic [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C18.00005: Electrical spin injection into Si with Ni/graphene contacts Connie H. Li, Olaf M. J. van 't Erve, Jeremy T. Robinson, Berry T. Jonker Graphene, a single layer of sp2 bonded C atom, provides a highly uniform barrier with well-controlled thickness and minimal defect, has been shown to be a viable tunnel barrier in magnetic tunnel junctions [1]. More recently, we have further demonstrated that it also circumvents the conductivity mismatch between a FM metal and semiconductor, whilst lowering the resistance area product [2]. Excellent spin filtering has also been predicted across graphene-magnetic metal (e.g., Ni, Co) interfaces, due to electronic structure overlap for only the minority spin [3]. This spin filtering effect is also accumulative, with predicted spin polarization reaching 100{\%} with multiple layers (\textgreater 5) of graphene, and is also robust against interface roughness and disorder [3]. Here we explore electrical spin injection into Si utilizing this spin filtering effect in a Ni/graphene/Si structure. We observe Hanle precession of the electron spin accumulation in the semiconductor, where the extracted spin lifetime from the Lorentzian fit to the Hanle data, $\sim$ 160 ps, is consistent with those observed for this Si carrier density (1E19) with other FM contacts (NiFe) and tunnel barriers (SiO2, Al2O3, graphene) [2,4], confirming spin injection and accumulation in the Si. Results comparing single and multiple layer graphene tunnel barriers will also be presented.\\[4pt] [1] Cobas et al., Nano Lett., 12, 3000 (2012)\\[0pt] [2] van `t Erve et al., Nat. Nano., 7, 737 (2012)\\[0pt] [3] Karpan et al., Phys. Rev. Lett. 99, 176602 (2007); Phys. Rev. B. 78, 195419 (2008); Phys. Rev. B. 84, 153406 (2011).\\[0pt] [4] Li et al., Nat. Comm., 2, 245 (2011) [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C18.00006: A graphene solution to conductivity mismatch: spin injection into Silicon Olaf van 't Erve, Adam Friedman, Enrique Cobas, Connie Li, Aubrey Hanbicki, Kathy McCreary, Jeremy Robinson, Berend Jonker The classic FM/semiconductor conductivity mismatch prevents spin injection into silicon. Typically, an oxide barrier such as MgO, AlOx or SiO2 is used to overcome this mismatch. These oxide tunnel barriers introduce defects, trapped charge, interdiffusion and add significant resistance, which compromise device performance. Here we will show that a FM/graphene contact serves as a spin-polarized tunnel barrier. [1] Although graphene is very conductive in plane, it exhibits poor conductivity perpendicular to the plane. Its sp2 bonding results in a highly uniform, defect free layer, which is chemically inert, thermally robust, and impervious to diffusion. The use of a monolayer of graphene at the Si interface provides a much lower RA product than any oxide film thick enough to prevent pinholes. We will present electrical injection and detection of spin accumulation in Si above room temperature, and show that the corresponding spin lifetimes correlate with the Si carrier concentration. The RA products are three orders of magnitude lower than achieved with oxide tunnel barrier contacts on identical Si substrates. Our results identify a new route to low RA-product spin-polarized contacts, a crucial requirement enabling future semiconductor spintronic devices, which rely upon two-terminal MR. [1] van `t Erve et al., Nature Nanotechnology, DOI 10.1038/nnano.2012.161 (2012) [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C18.00007: Analysis of 3-terminal Hanle signals in Si-based spintronic devices Shoichi Sato, Ryosho Nakane, Masaaki Tanaka We have investigated 3-terminal Hanle (3TH) signals and inverted 3-terminal Hanle (Inv3TH) signals [1] obtained in a temperature range of 5 -- 300 K in devices with a Si channel and Fe/SiO$_{2}$/Si tunnel junctions. The Hanle signals were measured with a magnetic field applied in various directions from parallel (Inv3TH) to normal (3TH) to the plane. The shape of the 3TH signals was a positive Lorentzian, whereas that of the Inv3TH signals was composed of two negative Lorentzians whose centers are at around $\pm$ 1000 Oe. We analyzed the signals using the Eq.(2) in [1], in which the effective magnetic field acting on electron spins is assume to be composed of the following fields; an applied field, a constant stray field, and a stray field proportional to the magnetization of the Fe electrode. Note that the last two fields are introduced in the present analysis. All the experimental signals in any applied field directions were well fitted by the formula. From the fitting parameters at various measurement temperatures, we found that the FWHM of the 3TH signals is determined by the stray field of the Fe electrode, and that the spin-polarized electrons accumulate in electronic states between the Fe electrode and the Si channel.\\[4pt] [1] S. P. Dash et al., Phys. Rev. B84, 054410 (2011). [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C18.00008: Correlation of electrical spin injection and non-linear charge-transport in Fe/MgO/Si Jonas Beardsley, Yong Pu, Patrick Odenthal, Adrian Swartz, Roland Kawakami, P. Christopher Hammel, Ezekiel Johnston-Halperin, Jairo Sinova, Jon Pelz The three-terminal (3T) Hanle method has recently been used by several groups to measure electrical spin injection into Si up to 500K, with signals that can be orders of magnitude larger than expected for spin injection into bulk Si states. While much discussion has centered on the possible origin of the enhanced spin signal, there has been little discussion of the anomalously-strong bias dependence of the spin resistance area product (SRA) often measured at low-temperatures. We report 3T-Hanle measurements MBE grown Fe/MgO/Si tunnel diodes which show an SRA up to seven orders larger at low temperature than the bulk prediction, which is both strongly bias dependent and highly correlated with the differential resistance area product, dV/dJ, over a large range of bias and temperature. This cannot be explained by current theories that assume energy-independent tunneling into localized or bulk states. We show that a simple model with strongly energy-dependent tunneling can explain the strong bias-dependences and correlation of the SRA and dV/dJ, and suggests that the intrinsic spin-injection properties may have little bias dependence even though the measured SRA decreases by three orders of magnitude with increasing bias. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C18.00009: Spin filtering with EuO: Insight from a complex band structure Pavel Lukashev, Aleksander Wysocki, Julian Velev, Mark van Schilfgaarde, Sitaram Jaswal, Kirill Belashchenko, Evgeny Tsymbal Spin-filter tunneling is a promising way to create highly-spin-polarized currents. So far the understanding of the spin-filtering effect has been limited to a free-electron description based on the spin-dependent tunneling barrier height. In this work we employ density-functional calculations to explore the complex bands of EuO as a representative ferromagnetic insulator used in spin-filter tunneling experiments and show that the mechanism of spin filtering deviates significantly from the standard free-electron picture and involves effects associated with the symmetry of spin-dependent evanescent states and the dependence of the decay constant on the transverse wave vector. We demonstrate the importance of the multiorbital band structure with an indirect band gap for spin-filter tunneling. By analyzing the symmetry of the complex bands and the decay rates for different wave vectors and energies we draw conclusions about spin-filter efficiency of EuO. Our results provide a new insight into spin-filter tunneling and may help to design tunnel junctions with enhanced spin polarization. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C18.00010: Doping dependence of the inverse spin-Hall effect in $n$-GaAs near the metal-insulator transition Chad Geppert, Kevin Christie, Mun Chan, Sahil Patel, Chris Palmstr\O m, Paul Crowell We present measurements of the inverse spin-Hall effect in $n$-GaAs at various doping levels ranging from one to five times the Mott criterion ($n_{c}\approx2\times10^{16}/$cm$^3$). Spin currents are generated electrically (from either Fe or Co$_2$MnSi) which give rise to a Hanle effect in the local Hall voltage. The observed magnitude corresponds to a spin Hall angle of $\approx10^{-3}$ in the regime of small electron polarization, yet exhibits a dramatic enhancement at low temperatures and/or high biases due to the presence of polarized nuclei. This enhancement is largest for intermediate dopings, reverses sign as the samples become more metallic, and exhibits an asymmetry with respect to the absolute sign of the polarization. These features are all indicative of conduction electrons resonantly scattering from localized states in the impurity band. Further confirmation of this picture is provided by quantitative modeling of the observed magnetic field dependence at oblique angles, where the Overhauser effect partially compensates the applied field. The resulting phenomenological form demonstrates that in addition to conventional spin-orbit effects, asymmetry in the spin-flip scattering may be directly mediated by the local nuclear spin system. Supported by NSF DMR-1104951. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C18.00011: Large magnetoresistance on spin injection in InSb/CoFe junctions Martin Rudolph, Y.J. Kim, J.J. Heremans During electrical spin injection from micron-sized ferromagnetic CoFe structures into the strongly spin-orbit coupled semiconductor InSb, we observe an unanticipated magnetoresistance at low temperatures. For temperatures below 3.5 K, the interface resistance falls abruptly, by up to 25{\%}, at a critical magnetic field. The functional dependence on magnetic field of the magnetoresistance and the temperature and angular dependence of its amplitude and width are not consistent with previously observed magnetoresistive semiconductor/ferromagnet junction phenomena. A differential conductance map of the density of states shows a symmetric minimum at zero current bias corresponding to the magnetoresistance maximum. The experimental observations appear consistent with formation of a quasiparticle gap of width approximately 0.5 meV during a phase transition in spin polarized InSb for temperatures below 3.5 K and magnetic fields below a critical field (DOE DE-FG02-08ER46532). [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C18.00012: Carrier density dependence of the spin lifetime in the persistent photoconductor Si:Al$_{0.3}$Ga$_{0.7}$As Joon-Il Kim, J. Misuraca, K. Kountouriotis, S. von Molnar, P. Xiong, K. Meng, J. Lu, L. Chen, X. Yu, J. Zhao Electrical spin injection/detection experiments have been performed on Si:Al$_{0.3}$Ga$_{0.7}$As, a persistent photoconductor. The carrier density of this material can be tuned in situ via photo excitation across the insulator-metal transition (IMT) [1], which enables spin accumulation and transport measurements in one and the same sample over orders of magnitude variation in carrier density, thus circumventing the difficulties of making many replicas to realize different doping levels. Fe/AlGaAs heterostructures were grown by MBE, in which AlGaAs and GaAs graded Schottky junctions were tested for optimum spin injection. Spin transport devices, suitable for 3-terminal and non-local 4-terminal Hanle-type measurements and on-chip determination of the carrier density, were fabricated from the wafers. The spin lifetimes, determined from fits of the Hanle curves to a Lorentzian or the spin drift-diffusion model, range from 0.5 ns to 2.8 ns and exhibit a nonmonotonic carrier density dependence possibly peaked at the IMT.\\[4pt] [1] J. Misuraca et al., Phys. Rev. B82, 125202 (2010). [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C18.00013: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 5:06PM - 5:18PM |
C18.00014: Determination of Spin Polarization of Fe$_{65}$Si$_{5}$ Using Andreev Reflection Spectroscopy Jonathan Martinez, Charles Snider, Jessica Gifford, Tingyong Chen Ferromagnetic Fe$_{\mathrm{x}}$Si$_{\mathrm{1-x}}$ alloys have been proposed as potential spin injectors into silicon with a substantial spin polarization. Experimentally, however, the observed spin polarization of the alloys still remains low. Ideally, spin polarization of a metal is defined as the imbalance of density of states at the Fermi level, but in amorphous alloys it is different since the Fermi level is not well defined. Recently, it has been found that the magnetic properties of the amorphous Fe$_{\mathrm{x}}$Si$_{\mathrm{1-x}}$ alloys are very different from the crystalline phase. In this work, we utilize Andreev Reflection Spectroscopy (ARS) to determine the spin polarization of both amorphous and crystalline Fe$_{65}$Si$_{35}$ alloys. We show that the additional resistance in ARS is quite high because of large resistivity of these alloys and must be taken into account to correctly extract the spin polarization. The obtained spin polarization values are very different: the amorphous phase has a significantly higher spin polarization than that of the crystalline phase. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C18.00015: Spin Transistor Action from Hidden Onsager Reciprocity I. Adagideli, V. Lutsker, M. Scheid, Ph. Jacquod, K. Richter We investigate generic Hamiltonians for confined electrons with weak inhomogeneous spin-orbit coupling [1]. Using a local gauge transformation we show how the SU(2) Hamiltonian structure reduces to a U(1) $\times$ U(1) structure for spinless fermions in a fictitious orbital magnetic field, to leading order in the spin-orbit strength. Using an Onsager relation, we further show how the resulting spin conductance vanishes in a two-terminal setup, and how it is turned on by either weakly breaking time-reversal symmetry or opening additional transport terminals, thus allowing one to switch the generated spin current on or off. We numerically check our theory for mesoscopic cavities as well as Aharonov-Bohm rings.\\[4pt] [1] Adagideli et al., Phys. Rev. Lett. 108, 236601 (2012) [Preview Abstract] |
Session C19: Vanadate Experiment: Devices
Sponsoring Units: DMPChair: Sambandamurthy Ganapathy, University at Buffalo
Room: 321
Monday, March 18, 2013 2:30PM - 3:06PM |
C19.00001: Phase transition transistors based on strongly-correlated materials Invited Speaker: Masaki Nakano The field-effect transistor (FET) provides electrical switching functions through linear control of the number of charges at a channel surface by external voltage. Controlling electronic phases of condensed matters in a FET geometry has long been a central issue of physical science. In particular, FET based on a strongly correlated material, namely ``Mott transistor,'' has attracted considerable interest, because it potentially provides gigantic and diverse electronic responses due to a strong interplay between charge, spin, orbital and lattice. We have investigated electric-field effects on such materials aiming at novel physical phenomena and electronic functions originating from strong correlation effects. Here we demonstrate electrical switching of bulk state of matter over the first-order metal-insulator transition [1]. We fabricated FETs based on VO$_{2}$ with use of a recently developed electric-double-layer transistor technique, and found that the electrostatically induced carriers at a channel surface drive all preexisting localized carriers of 10$^{22}$ cm$^{-3}$ even inside a bulk to motion, leading to bulk carrier delocalization beyond the electrostatic screening length. This non-local switching of bulk phases is achieved with just around 1 V, and moreover, a novel non-volatile memory like character emerges in a voltage-sweep measurement. These observations are apparently distinct from those of conventional FETs based on band insulators, capturing the essential feature of collective interactions in strongly correlated materials. This work was done in collaboration with K. Shibuya, D. Okuyama, T. Hatano, S. Ono, M. Kawasaki, Y. Iwasa, and Y. Tokura. \\[4pt] [1] M. Nakano et al., Nature 487, 459 (2012). [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C19.00002: Optical Characterization of Structural Evolution of UltrathinVO2 Films across Metal-Insulator Transition L. Guo We use ultrafast x-ray diffraction, time resolved pulsed THz spectroscopy and infrared transient absorption techniques to study the structural and electronic evolution of photo-induced ultrathin VO$_{2}$ film epitaxied on Titanium Dioxide (TiO$_{2})$ substrate. We have demonstrated the lattice structural state of VO$_{2}$ film can be tunable by the amount of excitation fluence. The structural evolution in nanosecond scale can be understood by thermal transport model simulation done by comsol. At high excitation fluence (as high as 29mj/cm$^{2})$, a new transient state is observed based on the x-ray diffraction result. The transient structure is unambiguously identified to benon-thermally induced and decoupled from metal-insulator electronic phase transition. It recovers to the tetragonal phase within one nanosecond, and further to the monoclinic phase in tens of nanoseconds. Moreover, the lattice vary properties are highly dependent on the orientation of substrate. The lattice expands along surface normal axis in VO$_{2}$/TiO$_{2}$ (100) films while contracts in VO$_{2}$/TiO$_{2}$ (001) films when going through the phase transition region. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C19.00003: The field-effect in vanadium dioxide and the metal-insulator transition Koen Martens, Jae-Woo Jeong, Nagaphani Aetukuri, Charles Rettner, Li Gao, Brian Hughes, Kevin Roche, Mahesh Samant, S.S.P. Parkin VO$_2$ and its metal-insulator transition are currently of interest to enhance understanding of metal-insulator transitions and for investigating possible applications in nanoelectronic devices. Inducing the metal-insulator transition by means of an electric field, instead of by changing the temperature, could entail a major enhancement of present-day nano-electronics. Both the field induced metal-insulator transition and the regular semiconductor field-effect are investigated in this work using monocrystalline VO$_2$ field-effect structures. The field dependent VO$_2$ conduction characteristics across the metal-insulator transition are elucidated. The relation of these VO$_2$ characteristics with the VO$_2$-insulator interface is clarified by means of admittance analysis. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C19.00004: Current induced Metal-Semiconductor Transition in VO2 grown on Pt Jiwei Lu, Salinporn Kittiwatanakul, Stuart Wolf Vanadium dioxide (VO$_{2}$) exhibits a metal-semiconductor transition at 340K; this transition can also be triggered by an electric field or direct current injection. In this study VO$_{2}$ was grown on 100 nm thick Pt bottom electrodes. The top Pt contacts were added for the transport measurements. The transport behavior indicated a reduced transition temperature. We have shown that the switching voltage for a Pt/VO2/Pt structure was as low as 0.3 V, and at this voltage we observed two orders of magnitude change in the resistance. XPS will be used to determine the valence state. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C19.00005: Electrical Breakdown in a V2O3 device at the Insulator to Metal Transition S. Gu\'enon, Siming Wang, J.G. Ram\'Irez, Ivan K. Schuller, S. Scharinger, D. Koelle, R. Kleiner We have measured the electrical properties of a V2O3 thin film micro bridge at the insulator metal transition (IMT). Discontinuous jumps to lower voltages in the current voltage characteristic (IV) followed by an approximately constant voltage progression for high currents indicate an electrical breakdown of the device. In addition, the IV curves show hysteresis and a training effect, i.e. the subsequent IV loops are different from the first IV loop after thermal cycling. Low temperature scanning electron microscopy (LTSEM) reveals that the electrical breakdown over the whole device is caused by the formation of electro-thermal domains (ETDs), i.e. the current and temperature redistribution in the device. On the contrary, at the nanoscale, the electrical breakdown causes the IMT of individual domains. In a numerical model we considered these domains as a network of resistors and we were able to reproduce the electro-thermal breakdown as well as the hysteresis and the training effect in the IVs. This work was supported by AFOSR grant number FA9550-12-1-0381. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C19.00006: Strain control and the triple point of the metal-insulator transition in vanadium dioxide Jae Hyung Park, Jim Coy, Serkan Kasirga, Zaiyao Fei, Chunming Huang, David Cobden We have developed an apparatus for applying controlled strain to suspended nanostructures while carrying out optical and transport measurements. This platform enables us to control and study phenomena where strain plays a key role, such as the metal-insulator transition in vanadium dioxide. The relationship between the metallic (R) phase and the two insulating (M1 and M2) phases involved in this first-order solid-state transition remains intriguing. Due to the different lattice constants of the phases, controlling the length of a VO2 nanobeam allows us to study the transitions between them methodically as a function of temperature and strain. One of our findings is that the triple point temperature of these three phases is extremely close to the transition temperature at zero strain, suggesting that the balance between M1 and M2 controls the stability of the metallic phase. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C19.00007: Temperature dependence of laser induced insulator-metal transition in VO$_{2}$ Siming Wang, Shimshon Bar-Ad, Juan Gabriel Ramirez, Dan Huppert, Ivan K. Schuller We performed optical pump-probe experiments on VO$_{2}$ thin films with low laser fluence at temperatures ranging across the insulator-metal transition (IMT). At room temperature, the reflectivity of VO$_{2}$ increases in the first 400-500 fs when pumped by 150 fs laser pulses. An exponential decay of the reflectivity is observed in the following 1 ps. Interestingly, as the temperature approaches the transition temperature (340 K), the reflectivity shows a second increase on an 80 ps time scale following the exponential decay, indicating an IMT. We propose that the decay of the reflectivity is due to electron-phonon thermalization, which raises the phonon temperature and causes a superheating of the lattice. This process provides the latent heat and induces the IMT on the 80 ps time scale. The coexistence of the insulating and metallic phases is observed in the reflectivity measurements for temperatures above 340 K. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C19.00008: Conductance Modulation across the Metal-Insulator Transition in Single Nanowire Devices of doped-VO$_{2}$ Gated with Ionic Liquid Adam Stabile, Luisa Whittaker, Sarbajit Banerjee, G. Sambandamurthy Studies of the effects of charge modulation in VO$_2$ systems may provide useful insights into the microscopic mechanisms behind its metal-insulator transition (MIT). Recently, ionic liquid (IL) has become a popular material for gating nanodevices due to its superior charge accumulation capabilities. Thus, using IL to gate single nanowires of W-doped-VO$_2$, we systematically study the modulation of electrical transport across the temperature-driven and voltage-driven MIT as a function of gate voltage. We report the manifestation of hysteresis loops, which show an unprecedented modulation of resistance and current by as large as 20\%. Moreover, we show that the largest modulation loop coincides with the largest changes in resistance across the temperature-driven MIT suggesting that the memory behavior in VO$_2$ and its MIT are closely linked. Similar behavior is also observed across the voltage-driven MIT. These studies lay the ground work for an alternative approach to understanding the mechanisms behind the MIT in VO$_2$ systems when driven by different external parameters. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C19.00009: Decoupling of structural and electronic phase transitions in VO$_2$ Zhensheng Tao, Tzong-Ru T. Han, Subhendra D. Mahanti, Phillip M. Duxbury, Fei Yuan, Chong-yu Ruan, Kevin Wang, Junqiao Wu Using optical, TEM and ultrafast electron diffraction experiments we find that single crystal VO$_2$ microbeams gently placed on insulating substrates or metal grids exhibit different behaviors, with structural and metal-insulator transitions occuring at the same temperature for insulating substrates, while for metal substrates a {\it new monoclinic metal phase} lies between the insulating monoclinic phase and the metallic rutile phase. The structural and electronic phase transitions in these experiments are strongly first order and we discuss their origins in the context of current understanding of multi-orbital splitting, strong correlation effects and structural distortions that act cooperatively in this system. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C19.00010: Modulation of single-crystal vanadium dioxide film by hydrogen Heng Ji, Will Hardy, Hanjong Paik, Darrell Schlom, Douglas Natelson Vanadium Dioxide is a strongly correlated material with a bulk metal-to-insulator transition at 340 K. This transition temperature can be affected by strain, and previous experiments in single-crystal nanowires (J. Wei et al., Nature Nano. 7, 357-362 (2012)) have shown that catalytic doping with atomic hydrogen can stabilize the high temperature metallic state. In this experiment, we examine the effects of hydrogen on a 10 nm thick VO$_{\mathrm{2}}$ film grown on TiO$_{\mathrm{2}}$ (001) substrate by MBE with a transition temperature at 280K. We found the transport properties of this film can be dramatically modulated by doping and releasing hydrogen in and out of VO2 film even at room temperature. The resulting changes in the conductivity are even more dramatic than those seen in nanowires. The enhanced rate of response at room temperature is likely aided by the crystallographic orientation of the film, which has a growth direction along which hydrogen is known to diffuse rapidly in rutile TiO$_{\mathrm{2}}$, which is isostructural to the metallic VO$_{\mathrm{2}}$ high temperature phase. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C19.00011: Bi-chromatic probing of the metal-insulator transition in VO2 thin film Lei Wang, Irina Novikova, Michael Klopf, Eric Madaras, Scott Madaras, Gwyn Williams, Rosa Lukaszew VO2 is a correlated electron material that exhibits a metal-insulator (MIT) phase transition that can be thermally, electrically, or optically controlled. For the thermally-induced case the material undergoes a structural transition from a monoclinic insulating state to rutile metal at around 340K. The salient features of this first order phase transition are that upon the transition the material exhibits up to five orders-of-magnitude increase in conductivity and consequently also significant changes in the optical properties. Typically in these oxides, competing states can often coexist and form nano- or microscale domains of different phases while transitioning. Here we show that upon thermally inducing the MIT on epitaxial VO2 films when simultaneously probed by two very different frequencies- namely IR and THz- the onset of the MIT appears at somewhat different temperature depending on the light used to probe it, thus confirming the coexistence of nano-scale domains of different phases. We will show our correlated far field optical and transport studies on these films to investigate the percolative nature of the transition and applied mean field approximations to model the observed response. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C19.00012: Role of joule heating in electrically-driven metal insulator transition in vanadium oxide nanowires Sujay Singh, Zhenzhong Shi, Chun Pui Kwan, Peter Marley, Sarbajit Banerjee, Ganapathy Sambandamurthy Metal to insulator transition (MIT) in vanadium oxide system can be triggered by several external stimuli such as temperature, electric field, strain and light. Electrically driven MIT in single nanowire devices of crystalline vanadium oxide (W- doped VO$_{2}$, Ag-doped V$_{2}$O$_{5}$) is the topic of current study. Recent works on realizing switching devices using these materials have discussed the importance of Joule heating near the transition as supposed to a purely electric field induced effect. We propose a novel method for identifying the individual roles of Joule heating and/or electric field by analyzing the frequency response of the AC electric signal near the MIT in these devices. The method may also be used in other strongly correlated electron system to delineate the roles of individual microscopic conduction mechanisms near MIT. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C19.00013: Switchable vanadium dioxide (VO2) metamaterials fabricated from tungsten doped vanadia-based colloidal nanocrystals Taejong Paik, Sung-Hoon Hong, Thomas Gordon, Ashley Gaulding, Cherie Kagan, Christopher Murray We report the fabrication of thermochromic VO2-based metamaterials using solution-processable colloidal nanocrystals. Vanadium-based nanoparticles are prepared through a non-hydrolytic reaction, resulting in stable colloidal dispersions in solution. Thermochromic nanocrystalline VO2 thin-films are prepared via rapid thermal annealing of colloidal nanoparticles coated on a variety of substrates. Nanostructured VO2 can be patterned over large areas by nanoimprint lithography. Precise control of tungsten (W) doping concentration in colloidal nanoparticles enables tuning of the phase transition temperature of the nanocrystalline VO2 thin-films. W-doped VO2 films display a sharp temperature dependent phase transition, similar to the undoped VO2 film, but at lower temperatures tunable with the doping level. By sequential coating of doped VO2 with different doping concentrations, we fabricate ?smart? multi-layered VO2 films displaying multiple phase transition temperatures within a single structure, allowing for dynamic modulation of the metal-dielectric layered structure. The optical properties programmed into the layered structure are switchable with temperature, which provides additional degrees of freedom to design tunable optical metamaterials. [Preview Abstract] |
Session C20: Focus Session: Metamaterials - Quantum Dots
Sponsoring Units: DMPChair: Yanwen Wu, University of Texas at Austin
Room: 322
Monday, March 18, 2013 2:30PM - 2:42PM |
C20.00001: Phonon-induced Transparency in Quantum Dot Molecules M. Kerfoot, A. Govorov, D. Lu, R. Babaoye, A. Bracker, D. Gammon, M. Scheibner Quantum dot molecules (QDMs) formed by vertically stacked quantum dots provide a rich test ground for the investigation of elementary semiconductor excitations in a zero dimensional system. A high level of control over the mutual interactions between charges, spins and photons has been achieved with the enormous tunability of electronic states in QDMs [1]. In this work, we investigate the interaction of phonons with the QDM electronic states and demonstrate that, contrary to their usual dephasing role, phonons can actually increase control over a quantum system. This novel employment of phonons arises from the formation of a resonance-enhanced polaron. It is revealed via a Fano effect that arises from the interference of two competing optical absorption pathways, which results in a transparency of the system. One pathway involves a discrete electronic excitation with charges localized in separate quantum dots. The other pathway is for a polaron state, a hybrid of an electronic excitation with an optical phonon that results in a continuum of energy states. The pathways are coupled by the tunneling of a single hole, resulting in a Fano interference in the absorption lineshape characterized by stark dips (transparency) and peaks in the absorption. We show that the phonon-induced transparency is highly controllable by electric field, excitation energy and power. \newline [1] M. Scheibner, et. al., \textit{Essential concepts in the optical properties of quantum dot molecules}, Solid State Comm. \textbf{149}, 1427-1435 (2009). [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C20.00002: Structural characterization and magnetotransport in Ge/Si quantum dots Dongyue Yang, Chris Petz, Jerrold Floro, Jeremy Levy Artificially ordered quantum dot (QD) arrays may create unique functionalities such as cluster qubits and spintronic bandgap systems.\footnote{C. E. Pryor, M. E. Flatte, and J. Levy, Applied Physics Letters \textbf{95}, 232103 (2009)} We fabricate directed self-assembled Ge/SiC/Si arrays with fine control over QD size and spatial arrangement on the sub-35 nm length scale for this purpose. The formation, thermal stability, and structure of the QDs are studied extensively with transmission electron microscopy (TEM) and atomic force microscopy (AFM).\footnote{C. Petz, D. Yang, J. Levy and J. Floro, Journal of Material Research \ (JMR-2012-0430)} Magnetotransport measurements through the QD arrays shows a diamagnetic shift that depends on the dots' spatial configuration. We attribute this configuration dependence to the interaction of the electrons between different QDs. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C20.00003: Tuning between Quantum-Dot and Quantum-Well-Like Behaviors in Type-II Zn-Se-Te Multilayers by Controlling Tellurium Flux during MBE Growth Haojie Ji, Bidisha Roy, Siddharth Dhomkar, Richard Moug, Maria Tamargo, Alice Wang, Igor Kuskovsky Type-II semiconductor quantum dots (QDs) characterized by spatial separation of charge carriers are good candidates for such applications as intermediate-band solar cells and IR photodetectors. Type-II QDs differ from type-I counterparts because one type of the carriers locates within the barrier material, so that their wavefunctions become to overlap early with increasing QD density. Thus, it is expected that type-II QDs coalescence into a - quantum well (QW) - like layer at much lower densities than similar type-I QDs with obvious consequences for device performance. We report here tuning between QD- and QW-like behaviors in the Zn-Se-Te multilayers with ZnTe sub-monolayer QDs. A set of samples, grown with increasing Tellurium flux, have been investigated. The critical density of QDs and the overlap of electron wavefunctions are estimated from secondary ion mass spectrometry, temperature dependent photoluminescence (PL), and magneto-PL measurements. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C20.00004: Effect of built-in electric field in stacked type-II ZnTe/ZnSe submonolayer quantum dots: enhancement and narrowing of Aharonov-Bohm oscillations Bidisha Roy, Siddharth Dhomkar, Haojie Ji, Maria Tamargo, Igor Kuskovsky Robust and narrow Aharonov-Bohm (AB) oscillations were observed in both intensity and energy of the mangeto-photoluminescence (PL) from stacked type-II ZnTe/ZnSe submonolayer quantum dots (QDs) grown via migration enhanced epitaxy. The narrowness and enhancement in the AB oscillations is a consequence of the built-in electric field in the system. Spectral analysis of cw magneto-PL and time-resolved PL suggest that the QD stacks size distribution is not large and thus broadening of the PL is possibly due to strong electron-phonon interaction as generally seen in bulk Zn-Se-Te systems. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C20.00005: Characterizing epitaxially-grown InGaAs quantum dot chains using transmission electron microscopy Tyler Park, John Colton, Haeyeon Yang, Jeff Farrer Quantum dot chains grown by a modified Stranski-Krastanov method have been studied. The new growth technique seeks to reduce indium segregation and intermixing, compared to the conventional method, for higher quality dots. These quantum dot chains may have potential application in optoelectronics, detectors, lasers, and quantum computing. Our recent efforts have been to characterize the quantum dot chains by using transmission electron microscopy to answer morphological questions that photoluminescence spectroscopy could not. Using this method, we've been able to observe a dependence of dot flattening on temperature, a reduction in segregation and intermixing, and little influence of a capping layer on the structure of the dot-chains. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C20.00006: Electric-field control of exciton fine structure: atomic scale manipulation of exchange Garnett Bryant, Natalia Malkova, James Sims Tremendous effort has been made recently to control excitons in semiconductor quantum dots using vertical and in-plane electric fields, magnetic fields, optical fields, strain fields, annealing and crystal symmetry to manipulate exciton phase, fine structure splitting and polarization. Such control enables entangled photon generation from biexciton cascade, coherent state manipulation, and transfer between flying photonic qubits and stationary solid-state qubits needed for quantum information processing. We use atomistic tight-binding theory with a configuration interaction description of Coulomb and exchange effects to describe excitons in quantum dots in a vertical electric field. We show that field-induced manipulation of exciton orientation and phase produces a drastic reduction of fine structure splitting, an anticrossing, and a 90 degree rotation of polarization, similar to the observed anticrossing. An {\it atomistic} analysis is needed to explain how exciton reorientation by the applied field modifies anisotropic exchance and fine structure splitting without significantly altering other splittings. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C20.00007: Enhanced Luminescence in Tb/Ce co-doped Zinc- and Tin-Oxide quantum dots Christie Larochelle, JingJing Xu, Kelly McCutcheon SnO$_2$ and ZnO quantum dots doped with Tb$^{3+}$ exhibit strong luminescence from the Tb$^{3+}$ dopants due to efficient energy transfer from the semiconductor donors to the Tb$^{3+}$ acceptor ions. We report results from a study of the effect of co-doping the SnO$_2$ and ZnO dots with both Tb$^{3+}$ and Ce$^{3+}$ on the photoluminescence properties of the samples. The dots were synthesized using a sol-gel technique and the Ce$^{3+}$/Tb$^{3+}$ ratio was varied while keeping the total doping level at 1wt$\%$. X-ray diffraction and TEM results confirm the presence of nanocrystals of less than 10 nm in diameter. Photoluminescence results indicate that the Tb$^{3+}$ ions are incorporated in a crystalline environment and that co-doping with Ce$^{3+}$ enhances the energy transfer efficiency and therefore the intensity of the Tb$^{3+}$ luminescence. The effect of heat treatment on the size of the dots and the impact of size on luminescence properties was also investigated. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C20.00008: Emission in Mn-Doped Quantum Dot Que Huong Nguyen, Joseph L. Birman We theoretically investigate the magneto-PL of Mn2$+$doped semiconductor core-shell colloidal quantum dot to explain the experiment result from a recent magnetophotoluminescence study of strongly confined diluted magnetic semiconductor (DMS) in Mn2$+$-doped ZnSe/CdSe core-shell colloidal nanocrystals. The yellow emission characterized for in Mn2$+$-which is associated with the d-d internal transition 4T1-6A1, was reported not suppressed in an applied B //z magnetic field and unpolarized as usual and instead, a Mn PL circular polarization has been observed. The in Mn2$+$- photoluminescence has been found to have a large splitting between $\sigma ^{+}$ and $\sigma^{-\, }$components which depends on the applied field. We show that this behavior, which has not been found in characteristics of the Mn2$+$ PL in bulks and other conventional DMS materials, is the result of the strong confinement of the nanocrystal and its properties. Our theory and calculation show that the reasons the yellow Mn2$+$ PL band in quantum dots is not suppressed under applied magnetic field originate due to the existence of the internal piezoelectric dipole moment and the Coulomb exchange interaction of the impurity ions with the confined electrons inside the dot. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C20.00009: Modification of the conduction band edge energy via hybridization in quantum dots Robert Meulenberg, Joshua Wright X-ray absorption near edge structure spectroscopy (XANES) and theoretical modeling are used to examine effects of hybridization on the conduction band edge in doped CdSe quantum dots (QDs). Experimentally, Cd $M_3$-edge XANES provides evidence for a lowering of the CB minimum for Cu doped CdSe QDs that is dependent on Cu concentration. Theoretical modeling suggests the effects of hybridization between Cu and Cd atoms in the QD can explain our experimental results. The model can be extended for other dopant systems and provide a simple, yet effective, method to predict the effects of hybridization on the CB levels in QDs. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C20.00010: An evaluation of optical properties of solution processed colloidal chalcogenide type nanocrystals Prashant Sarswat, Michael Free Solution cast cadmium free chalcogenide type quantum dots were synthesized using environmentally friendly constituent elements. Some of the advantages of solution cast nanocrystals are easy integration with desired substrate, good control over size and shape, and their rapid processing. A range of liquid with different color luminescence was produced by changing the solvent and synthesis conditions. A green color luminescence was produced when oleylamine is used as a solvent and inert condition is maintained, whereas yellow luminescence was observed when syntheses of crystals were carried out in presence of oxygen. Detailed characterization and investigation was conducted using transmission electron microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, and photoluminescence study. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C20.00011: Ensemble brightening in size purified silicon nanocrystals Joseph B. Miller, Austin R. Vansickle, Rebecca J. Anthony, Daniel M. Kroll, Uwe R. Kortshagen, Erik K. Hobbie We report on the quantum yield, photoluminescence (PL) lifetime and ensemble photoluminescent stability of monodisperse plasma-synthesized silicon nanocrystals (SiNCs) prepared though density-gradient ultracentrifugation in mixed organic solvents. Improved size uniformity leads to a reduction in PL linewidth, band alignment, and the emergence of entropic order in dry nanocrystal films. We find a significant PL enhancement in thin solid films assembled from the fractions, and we use a combination of measurement, simulation and modeling to link this brightening to a temporally enhanced quantum yield arising from SiNC interactions in ordered ensembles of monodisperse nanocrystals. Using an appropriate excitation scheme, we exploit this enhancement to achieve photostable emission. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C20.00012: Ligand Interface Chemistry of Lead Chalcogenide Nanocrystals Kun Liu, Clive Bealing, Richard Hennig Lead chalcogenides nanocrystals (NCs) have shown promise in photovoltaic applications. Surface chemistry is one of the most important, yet least understood aspects of NC synthesis and functionalization controlling their properties. For example, an incomplete surface passivation could create trap states that enhance undesired exciton recombination. Clever choices of ligands ensure that neighboring NCs are electronically coupled while maintaining their quantum size effects. These two barriers limiting performance of NC solar cells illustrate the importance of fundamental studies for the interaction between ligands and NC surfaces. We use density functional theory to determine the binding sites and energies of ligands commonly used in NC synthesis and functionalization. Specifically we study amine-, carboxyl-, and thiol-ligands on different PbSe and PbS surfaces. For methylamine ligands we find a similar binding energy on the (100) and (111) facet while carboxylic acid ligands strongly prefer the (111) facet leading to different effective NC shapes. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C20.00013: Surfaces of nanomaterials for sustainable energy applications: thin-film 2D-ACAR and PALS studies B. Barbiellini, L. Chai, W. Al-Sawai, S.W.H. Eijt, P.E. Mijnarends, H. Schut, Y. Gao, A.J. Houtepen, L. Ravelli, W. Egger, M.A. van Huis, A. Bansil Positron (e$^{+}$) annihilation spectroscopy is one of only a few techniques to probe the surfaces of nanoparticles. We investigated thin films of PbSe colloidal semiconductor nanocrystals (NCs) in the range 2-10 nm as prospective highly efficient absorbers for solar cells. We compare and contrast our findings with previous studies on CdSe NCs. Evidence obtained from our e$^{+}$ lifetime spectroscopy study using the PLEPS spectrometer shows that 90-95\% of the implanted positrons are effectively trapped and confined at the surfaces of these NCs. The remaining 5-10\% of the e$^{+}$ annihilate in the relatively large oleic acid ligands, in fair agreement with the estimated positron stopping power of the PbSe nanoparticle ``core'' relative to the ligand ``shell.'' 2D-ACAR measurements on the same set of films using the low-energy e$^{+}$ beam POSH showed that the e$^{+}$ wavefunction at the surfaces of the PbSe NCs is more localized than for the case of CdSe NCs. Comparison with calculated e$^{+}$ - e$^{-}$ momentum densities indicates a Pb deficiency at the surfaces of the PbSe NCs, which correlates with e$^{+}$ lifetime and the NCs morphology. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C20.00014: Polymer Matrix Role in Light Absorption and Emission by Nano-CdS/PVA Composite Andrii Kovalchuk, Galyna Rudko, Volodymyr Fediv, Qijun Ren, Irina Buyanova, Weimin Chen Influence of a polymeric medium on the light absorption and emission processes of composite nano-CdS/polyvinyl alcohol is studied by activating different absorption-emission routes via changing of excitation wavelengths. The mechanisms are analyzed by employing the time-resolved photoluminescence spectroscopy. It is shown that the polymeric component of the composite contributes mainly to the excitation processes of photoluminescence via absorption of external laser excitation and its following transfer to the CdS nanoparticles that are incorporated into polymer matrix. The composite emission occurs mostly within the nanoparticles. It is also shown that time-decays of the photoluminescence emission from the CdS nanoparticles embedded in the composite depend on the excitation wavelength. Such behavior is ascribed to the interplay between the intrinsic nanoparticles excitation and extrinsic feeding of the nanoparticles via energy transfer from the excited polymer matrix. Possible mechanisms of the observed energy transfer are also discussed. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C20.00015: Water-soluble metallic cluster characterization via nanopore detection Chrisopher E. Angevine, Joseph E. Reiner Metallic quantum clusters can be ligand stabilized for aqueous environments to expand their potential as biosensors. Characterizing these clusters, while they are in solution, is an important problem because it will aid in optimizing cluster design. Nanopore-based resistive pulse sensing could be a valuable technique with which to characterize these structures because the pore is commensurate with the size of many of the clusters in use. Briefly, a single cluster enters the nanopore and creates a measurable decrease in the ionic current through the pore. These current blockades can be analyzed to deduce properties of the clusters such as size and charge. We have demonstrated this capability with a monodisperse mixture of Au$_{\mathrm{25}}$(SG)$_{\mathrm{18}}$. These clusters give rise to blockades with various mean residence times and blockade depths. We will present preliminary results and our analysis of these blockades and discuss future directions for nanopore-based cluster characterization. [Preview Abstract] |
Session C21: Focus Session: Domains, Switching, and Memristors
Sponsoring Units: DMPChair: James Scott, University of Cambridge
Room: 323
Monday, March 18, 2013 2:30PM - 2:42PM |
C21.00001: Phenomenological study of switching in strongly coupled multiferroics Kuntal Roy, Craig J. Fennie An ongoing challenge in the field of multiferroics is to understand new mechanisms and to realize new materials in which an electric field can deterministically switch the magnetization by 180$^{\mathrm{o}}$ at room temperature. One mechanism that has recently become of renewed interests~is that of ferroelectric-induced weak ferromagnetism, for which several new classes of materials have been proposed and found to be realizations of from first principles.~An open and challenging question concerning these systems is that of polarization-magnetization dynamics such as switching. In this talk we will discuss our initial work addressing this question. We apply a phenomenological approach, e.g., the Landau-Lifshitz-Gilbert equation for magnetization dynamics and dynamical systems equations for polarization to study the switching dynamics in single-phase multiferroic materials with strongly coupled polarization and magnetization. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C21.00002: Polarization switching dynamics in thin-film BaTiO$_{3}$/PbZr$_{0.2}$Ti$_{0.8}$O$_{3}$ bilayer capacitors Pavel Salev, Alexei Grigoriev In this work, we compare polarization switching and dielectric properties of single- (PbZr$_{0.2}$Ti$_{0.8}$O$_{3}$ (PZT)) and bi-layer (BaTiO$_{3}$/PbZr$_{0.2}$Ti$_{0.8}$O$_{3}$ (BTO/PZT)) ferroelectric thin-film materials. The ferroelectric films were grown by radio-frequency magnetron sputtering on SrRuO$_{3}$/SrTiO$_{3}$ (001) substrates. Pt top electrodes ranging in diameter from 50 um to 200 um were fabricated on top of ferroelectric films. Electrical measurements of switching dynamics and dielectric response revealed a significant difference in polarization switching between single- and bi-layer capacitors. Average remnant polarization in the bilayer was reduced to 60 uC/cm$^{2}$ from 90 uC/cm$^{2}$ polarization in a single layer capacitor, and the switching speed was reduced significantly. In this presentation, we will discuss effects of interfaces and polarization coupling on polarization dynamics and on the dielectric response in ferroelectric multilayers. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C21.00003: Domain Switching and Interaction with Misfit Dislocation in Bismuth Ferrite thin films: Phase-Field Simulation Khalid Ashraf, Sayeef Salahuddin Previously, we reported a massively parallel 3D phase-field model that can simulate micron scale ferroelectric thin films with arbitrary electrical and mechanical boundary conditions [1,2]. Using this model, we explained a number of recent experimental results of domain switching on various surfaces of BiFeO3 [1,2]. Here, we study the inhomogeneous strain distribution and its impact on domain nucleation and switching in the multi-ferroic material BiFeO3. We calculate the inhomogeneous strain induced in a thin film BiFeO3 due to misfit dislocations. We simulate the domain growth mechanism in films with and without misfit dislocation. We find that a compressively strained region in the film due to dislocation act as nucleation center whereas a tensile strained region act as pinning centers for lateral electric fields on the (001) surface of BFO. Both domain growth and relaxation speed are considerably higher close to a compressively strained region when the domain propagates perpendicular to the dislocation. An opposite trend is observed for domains relaxing along the dislocation. Our calculated domain propagation velocities are in excellent agreement with recently reported experiments.\\[4pt] [1] J. Heron, M Trassin, K Ashraf, et al., PRL, 89, 153504 (2011)\\[0pt] [2] K Ashraf, S. Salahuddin JAP,111(10), 103904 (2012).\\[0pt] [3] K Ashraf, S. Salahuddin JAP,112, 074102 (2012). [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C21.00004: Ferroelectric and multiferroic domain imaging by Laser-induced photoemission microscopy Anke Hoefer, Michael Fechner, Klaus Duncker, Ingrid Mertig, Wolf Widdra The ferroelectric as well as multiferroic surface domain structures of BaTiO3(001) and BiFeO3(001) are imaged based on photoemission electron microscopy (PEEM) by femtosecond laser threshold excitation under UHV conditions [1]. For well-prepared BaTiO3(001), three ferroelectric domain types are clearly discriminable due to work function differences. At room temperature, the surface domains resemble the known ferroelectric domain structure of the bulk. Upon heating above the Curie point of 400 K, the specific surface domain pattern remains up to 500 K [2]. Ab-initio calculations explain this observation by a remaining tetragonal distortion of the topmost unit cells stabilized by a surface relaxation. The (001) surface of the single-phase multiferroic BiFeO3 which is ferroelectric and antiferromagnetic, shows clear ferroelectric work function contrast in PEEM. Additionally, the multiferroic domains show significant linear dichroism. The observation of a varying dichroism for different ferroelectric domains can be explained based on the coupled ferroelectric-antiferromagnetic order in BiFeO3. It demonstrates multiferroic imaging of different domain types within a single, lab-based experiment. [1]Hoefer et al., IBM J. Res. Dev. 55, 4:1 (2011) [2]Hoefer et al., PRL 108, 087602 (2012) [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C21.00005: Bloch-type domain walls in rhombohedral BaTiO$_3$ Maryam Taherinejad, David Vanderbilt, Pavel Marton, Vilgelmina Stepkova, Jiri Hlinka Ferroelectric domain walls (FDWs) are usually considered to be of Ising type, but there have been suggestions in recent years that Bloch-type FDWs, in which the polarization rotates in the plane of the FDW, are also possible. The mechanically compatible and electrically neutral FDWs in rhombohedral BaTiO$_3$ are of 71$^\circ$, 109$^\circ$, and 180$^\circ$ type. We have investigated these FDWs based both on first-principles calculations and on a Ginzburg-Landau-Devonshire (GLD) model.\footnote{ P. Marton, I. Rychetsky, and J. Hlinka, Phys. Rev. B \textbf{81}, 144125 (2010).} The results from both approaches confirm the Ising nature of the 71$^\circ$ FDW and the Bloch nature of the 180$^\circ$ FDW, and predict both Ising-type and Bloch-type FDWs are possible for the 109$^\circ$ case. Considering the relatively small rhombohedral strain in BaTiO$_3$, the competition between the energies of Bloch and Ising FDWs can be discussed in terms of a picture in which a Bloch wall is regarded as being composed of a pair of smaller-angle Ising ones. A reduction by 40\% in the parameters describing the gradient term in the GLD model brings it into better agreement with the first-principles results for detailed properties such as the energies and widths of the FDWs. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C21.00006: Domain wall roughness and creep behavior in nanoscale crystalline ferroelectric oxide and polymer films Zhiyong Xiao, Shashi Poddar, Stephen Ducharme, Xia Hong We have studied the static and dynamic properties of domain wall (DW) in nanoscale crystalline ferroelectric oxide Pb(Zr,Ti)O$_{3} $ (PZT) and poly(vinylidene-fluoride-trifluorethylene) (PVDF-TrFE) films of 20 to 40 nm thick using piezo-response force microscopy. DW roughness exponent $\zeta $ is extracted from the correlation function of DW displacement. At room temperature, $\zeta $ of PVDF-TrFE is 0.4 to 0.48, much higher than those obtained on the PZT films (0.2-0.3). Combined with the dynamic studies of the DW creep behavior, this yields an effective dimensionality of 1.5 for PVDF-TrFE films, in sharp contrast to $d_{eff} \sim $ 2.5 observed in PZT films. We have also thermally quenched the DWs after heating them at high temperatures. Thermal quench causes significant change in the DW configuration in PZT films with $\zeta $ increasing to $\sim $ 0.5 after the films are heated close to the Curie temperature $T_{C} $. On the other hand, the DWs in PVDF-TrFE films exhibit very weak temperature dependence. We attribute this distinctly different behavior to the strong anisotropy between in-plane and out-of-plane interaction in PVDF-TrFE, which is absent in PZT. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C21.00007: Equilateral triangular ferroelectric closure domains in (111)-oriented epitaxial Pb(Zr,Ti)O$_3$ thin films Sang Mo Yang, Y.J. Shin, T.W. Noh, Y. Ehara, H. Funakubo, J.-G. Yoon, J.F. Scott Over 60 years ago, Charles Kittel predicted that intriguing quadrant flux-closure domains could spontaneously form in small ferromagnetic platelets [1]. Such quadrant flux-closure domains are considered as a precursor for the true vortex states, having attracted particular interest for storing memory devices. Although the vortex states are now ubiquitous and rather well understood in ferromagnets, even the flux-closure domains as their precursors are much less established in ferroelectrics (FEs) [2]. Here we report the generation of novel equilateral triangular FE closure domains. We generated such intriguing states by using the high crystalline anisotropy energy of a (111)-oriented epitaxial PbZr$_{0.35}$Ti$_{0.65}$O$_{3}$ thin film. Vector piezoresponse force microscopy analysis showed clearly the equilateral triangular closure states, consisting of three stripe domain bundles with three 120 degree orientation differences. Interestingly, the observed two closure states had the different rotation direction around the core, i.e., clockwise and anti-clockwise.\\[4pt] [1] C. Kittel, Rev. Mod. Phys. 21, 541 (1949);\\[0pt] [2] G. Catalan et al., Rev. Mod. Phys. 84, 119 (2012) [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:30PM |
C21.00008: Probing the Atomic Structure and Dynamics of Ferroelectric Domain Walls during Electrical Switching in Real Time Invited Speaker: Xiaoqing Pan The ferroelectric switching occurs through the nucleation and growth of favorably oriented domains and is mediated by defects and interfaces. Dislocations, for example, are known to destroy ferroelectric order; neighboring grains and interfaces subject the ferroelectric to localized strain, electric fields, or the screening of electric fields. Thus, it is critical to understand how the ferroelectric domain forms, grows, and interacts with structural defects. This talk presents the nanoscale ferroelectric switching of BiFeO$_{3}$ and PbZr$_{0.2}$Ti$_{0.8}$O$_{3}$ thin films under an applied electric field using in situ transmission electron microscopy (TEM). We follow the kinetics and dynamics of ferroelectric switching in real time and at sub-angstrom spatial resolution. We observed localized nucleation events at the electrode interface, domain wall pinning on point defects, the formation of ferroelectric domains localized to the ferroelectric/electrode interface, and domain wall pinning by dislocations. Through a quantitative analysis of aberration-corrected TEM images we found that there is a strong structural coupling between ferroelectric film and substrate, resulting a polarization reduction in the ferroelectric layer and inducing a polar displacement in substrate. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C21.00009: Two mechanisms of resistive memories in complex oxide thin films Kui-Juan Jin, Can Wang, Zhongtang Xu Current-voltage hysteresis and switchable rectifying characteristics have been observed in epitaxial multiferroic BiFeO$_{3}$ thin films. [1, 2] It has been clearly demonstrated that ferroelectricity and conductivity coexist in a single phase. The forward direction of the rectifying current can be reversed repeatedly with polarization switching, indicating a switchable diode effect and large ferroelectric resistive switching phenomenon. LaMnO$_{3}$ (LMO) films are deposited on SrTiO$_{3}$:Nb (0.8 wt{\%}) substrates under various oxygen pressures for obtaining various concentrations of oxygen vacancies in the LMO films. An aberration-corrected annular-bright-field scanning transmission electron microscopy with atomic resolution and sensitivity for light elements is used, which clearly shows that the number of oxygen vacancies increases with the decrease of oxygen pressures during fabrication. Correspondingly, the resistive switching property becomes more pronounced with more oxygen vacancies contained in LMO films. *E-mail: kjjin@iphy.ac.cn [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C21.00010: Shock wave mechanism for bipolar resistive switching S. Tang, V. Dobrosavljevi\'c, M. Rozenberg Many recently discovered systems displaying resistive switching phenomena have been widely studied as potential basis of future electronic memory devices. The hysteresis cycles observed in several such transition-metal oxide devices show a universal feature related to an abrupt onset of resistance switching. Here, we present an analytic analysis of a recently proposed phenomenological model\footnote{M. J. Rozenberg {\em et al.}, Phys. Rev. B {\bf 81}, 115101 (2010).}, via first principle derivation of an appropriate non-linear diffusion equation describing the rapid oxygen vacancy migration under strong time-dependent external electric fields. The non-linearity effect, which reflects the vacancy concentration dependence of the local resistivity, can be related to the modified Burger's equation describing shock waves. We show that the sudden resistance drop observed in the numerical solution of the model occurs exactly when the vacancy shock wave front reaches the interface between the highly resistive Schottky barrier and the bulk. We argue that the magnitude of the relevant nonlinear term is maximal for materials in the close-vicinity of the metal-insulator transition; this insight may facilitate the the optimization of device performance. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C21.00011: Using Noise to Study Switching Dynamics of Oxide Memristors A.M. Bratkovsky, Wei Yi, G. Medeiros-Ribeiro, R.S. Williams, S. Savel'ev Oxide memristors present attractive opportunities in the areas of nonvolatile memory, random access storage, novel electronic circuits, and new cognitive computing paradigms. The progress in those areas requires detailed understanding of the origin of memristive (resistance switching) behavior, state evolution, and noise. We have found that in TaOx memristors, there is a boundary between semiconducting and metallic conductivity that is characterized by quantized conductance states, demonstrating the formation of an atomic-scale point contact within the oxide. We have measured the noise spectra of a wide range of conductance states, and observed a variety of conductance-dependent behaviors including a transition from 1/f$^{2}$ (semiconducting regime) to 1/f (flicker noise in the ``metallic'' regime) frequency (f) dependence and a peak in the noise amplitude at the conductance quantum G$_{\mathrm{Q}}=$2e$^{2}$/h. We have modeled the point contact using stochastic molecular dynamics and can understand the observed behavior in terms of thermally-activated atomic-scale fluctuations that make and break the contact in the non-conducting matrix. The data provides important input for circuit designs and other applications of memristors. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C21.00012: The rules of the resistive switching operation parameters based on Ta/Ta$_{2}$O$_{5}$ RRAM device Haitao Li, Curt Richter, Oleg Kirillov, Hui Yuan, Hao Zhu, Dimitris Ioannou, Qiliang Li The resistive switching (RS) of the TaO$_{x}$ based RRAM has been widely studied due to its excellent endurance and thermal stability. The RS mechanism is generally understood as the formation and dissolution of nanometer-size conductive filament (CF) formed in set and reset process, respectively. However the exact process of dielectric break down remains unknown. In this work we studied the RS of the Ta/Ta$_{2}$O$_{5}$ based RRAM devices from the dependences of operation parameters V$_{set}$, I$_{CC}$, V$_{reset}$, and I$_{reset}$ on device resistance. From statistical analysis of variation in the threshold parameters, we found that the set process is mainly determined by the voltage stress on the device, instead of current. The first forming process is different from the following set process. The forming voltage exponentially depends on the pristine resistance. The forming process gives a smallest low resistance (R$_{LRS})$ for each device. As a result change in compliance current (I$_{CC})$ has no obvious effects on this low resistance state. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C21.00013: Thermophoresis (Soret Effect) in Memristor Calculations Harold Hjalmarson, Patrick Mickel, Gad Haase, Andrew Lohn, Matthew Marinella, Michael McLain, Andrew Pineda Switching in memristive devices involves the formation of conductive filaments following the application of a voltage pulse that causes heating. The temperature gradient may cause migration of atoms and vacancies through thermophoresis, also called the Soret effect or thermal diffusion. Thus thermophoresis may contribute to the switching mechanism. In this talk, the inclusion of thermophoresis in continuum calculations of electrical transport will be discussed in terms of nonequilibrium thermodynamics. These calculations include the effects of Joule heating, chemical species migration, ionizing radiation and chemical reactions. The merits of various ways to include thermophoresis will be discussed. Some illustrative results will also be discussed. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000. [Preview Abstract] |
Session C22: Metamaterials and THz Spectroscopy
Sponsoring Units: DCMPChair: Matt Doty, University of Delaware
Room: 324
Monday, March 18, 2013 2:30PM - 2:42PM |
C22.00001: Hyperbolic dispersion of graded anisotropic metamaterial with optical Kerr effect Ka Shing Hui, Hon Ping Lee, Kin Wah Yu We have investigated the tunable optical dispersion relation from an anisotropic graded material with optical Kerr effect under the influence of external electric field. The permittivity of the material depends on incident electric field $\tilde{\epsilon} =\epsilon+\chi |E |^2 $ . In particular, a graded metallic thin film which dielectric permittivity is anisotropic in the parallel and perpendicular directions is considered. The permittivity in parallel direction is described by the graded Drude model and the permittivity in the perpendicular direction is described by epsilon-near-zero (ENZ) metamaterial. For ENZ metamaterial, the local electric field is enhanced such that $\chi |E |^2\sim\epsilon$. As a result, the permittivity of ENZ metamaterial can be tuned by the optical Kerr effect. The dispersion relation and the electric field distribution are also examined in the quasi-static condition. By varying the intensity of the incident electric field, the dispersion relation can be switched from elliptical to hyperbolic which allow us to control light prorogation. Furthermore, the implication of the switching from the elliptical to hyperbolic dispersion on the Goos-H\"{a}nchen shift will be studied. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C22.00002: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 2:54PM - 3:06PM |
C22.00003: Nonlinear Propagation in Fishnet Metamaterials Haim Suchowski, Kevin O'Brien, Zi Jing Wong, Xiaobo Yin, Xiang Zhang We present experimental and theoretical investigations of four-wave mixing in negative index metamaterials at optical frequencies with the goal of demonstrating a phase matched backward wave. The nonlinear propagation in thick fishnet structures are examined, in order to show an experimental observation of backward nonlinear optical generation in negative refractive index materials. We have fabricated a fishnet metamaterial with a negative refractive index in the near infrared and have measured its index using spectrally and spatially resolved interferometry. An infrared four wave mixing process was chosen to ensure that the linear properties of the fishnet can be treated with effective medium theory. The signal and idler are obtained from two optical parametric oscillators driven by synchronized femtosecond lasers. We find that with a counter-propagating pump and signal one can obtain perfect phase matching for the backward propagating idler and a large enough phase mismatch to suppress the forward propagating idler. Our efforts towards an experimental demonstration of nonlinear phase matching in negative index optical metamaterials will be discussed. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C22.00004: Metagratings for Diffraction Based, Compact, Holographic Imaging Sandeep Inampudi, Viktor A. Podolskiy Recent developments in semiconductor technology brought to life a new generation of highly-compact visible-frequency cameras. Unfortunately, straight forward extension of this progress to low-frequency domains (such as mid-IR imaging) is impossible since the pixel size at these frequencies is limited by free-space diffraction limit. Here we present an approach to realize highly-compact imaging systems at lower frequencies. Our approach takes advantage of high refractive index of materials commonly utilized in semiconductor detectors of mid-IR radiation, accompanied by metagratings, structures with engineered diffraction properties, to achieve a 10-fold reduction in the pixel size. In contrast to conventional refraction-based imaging, the approach essentially produces a digital hologram -- a 2D projection of the 3D optical field, enabling a post-imaging ``refocusing'' of the picture. The perspectives of numerical recovery of the optical field and the stability of such recovery are discussed. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C22.00005: Multiple-band transmission in an acoustic metamaterial Ru-Wen Peng, Dong-Xiang Qi, Ren-Hao Fan, Xian-Rong Huang, Ming-Hui Lu, Xu Ni, Qing Hu, Mu Wang We demonstrate that acoustic waves can achieve extremely flat transmission through a metallic grating under oblique incidence within multiple frequency bands separated by Wood's anomalies. At the low-frequency band, the transmission of acoustic wave is independent of the frequency and presents a flat curve with the transmission efficiency reaching about 100{\%}; while at high-frequency bands, the transmission decreases to be lower flat curves due to the diffraction effect. The transmission efficiency is insensitive to the thickness of the grating. This phenomenon is verified by experiments, numerical simulations, and an analytical model. The broadband high transmission is attributed to the acoustic impedance matching between the air and the grating. This research may open up a field for various potential applications of acoustic gratings, including broadband sonic imaging and screening, grating interferometry, and antireflection cloaking. References: D. X. Qi, R. H. Fan, R. W. Peng et al., Appl. Phys. Lett. 101, 061912 (2012); and R. H. Fan, R. W. Peng, X. R. Huang et al., Adv. Mater. 24, 1980 (2012). [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C22.00006: Two-Dimensional Control over Gradient Index in a VO$_2$ Memory Metamaterial Michael Goldflam, Tom Driscoll, Daniel Barnas, Matthew Royal, Talmage Tyler, Nan Jokerst, David Smith, Giwan Seo, Bong-Jun Kim, Hyun-Tak Kim, Dimitri Basov We have demonstrated the creation of spatial gradients in the optical properties of a metamaterial device through tuning of a vanadium dioxide layer that interacts with an array of split ring resonators (SRR). Application of a transient electrical pulse across the metamaterial-VO$_2$ system leaves persistent changes in the properties of the metamaterial due to the hysteresis of the insulator-to-metal transition in VO$_2$. Through modification of contact geometry, pulse shape, and pulse duration, we have shown increased control over such devices allowing for independent tuning of individual sections of our hybrid VO$_2$-SRR device through the application of several transient voltage pulses. The characteristics of the gradients resulting from the voltage pulses were measured using infrared transmission spectroscopy. We observed a 15\% variation in the magnitude of transmission with spatial scales on the order of one wavelength at the resonance frequency. Thus we have demonstrated the viability of similar tunable metamaterial devices for uses in communications and beam steering. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C22.00007: Beating diffraction limit in an absorptive superlens Meng Xiao, Che Ting Chan It is well known that a slab with both permittivity and permeability equals -1+i$\delta$ can achieve super resolution and its mechanism can be understood with the idea of complementary material. In practice, meta-materials are always absorptive and the absorption sets an upper limit for the image resolution. Here, we study the image formation of stratified complementary slabs in the time domain. Instead of only one slab of super lens, we consider a stack of AB structured complementary slabs, where A is a super lens and B is normal material. We show that the superlens stack can beat the diffraction limit even in the presence of loss if the source has a time-dependent intensity profile. We derived a general analytical expression for the group velocity of an arbitrary k component including evanescent waves near frequency where ``complementary'' is satisfied and the analytical results can explain the super solution in the presence of loss. And our results shows that, with a Gaussian shaped pulse illumination, the image resolution can be improved by about 45\% relative to harmonic illumination for the same system. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C22.00008: Lamb Shift in the Near Field of Hyperbolic Metamaterial Half Space Nai Jing Deng, Kin Wah Yu Hyperbolic metamaterials give a large magnification of the density of states in a specific frequency ranges, and has motivated various applications in emission lifetime reduction, strong absorption, and extraordinary black body radiation, etc. The boost of vacuum energy, which is proportional to the density of states, is expected in hyperbolic metamaterial. We have studied the Lamb shift in vacuum-hyperbolic-metamterial half spaces and shown the non-trivial role of vacuum energy. In our calculation, the easy-fabricated multilayer structure is employed to generate a hyperbolic dispersion relation. The spectrum of hydrogen atoms is calculated with a perturbation method after quantizing the half spaces with a complete mode expansion. It appears that the shift of spectrum is mainly contributed by the terahertz response of materials, which has been well described and predicted in both theories and experiments. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C22.00009: Complex Oxide Thin Film Metamaterial Structures for THz applications D. Shreiber, R. Cravey, M.W. Cole Metamaterials operating in the frequency range of 0.1-1.5 THz are of a special interest for multiple Army applications such as communications, NDE of materials, and detection of chem./bio hazards. Recently proposed dielectric metamaterials present an intriguing venue for the developments in this field due to their low propagation losses and ease of fabrication. These dielectric metamaterials were implemented in bulk and in thick films. Tunability of ferroelectric complex oxides is achieved by applied bias voltage and constitutes an additional benefit for multiple applications. However, real-life applications require usage of relatively low bias voltage which is achievable only by using a ferroelectric complex oxide thin-film. Although the physical dimensions of the thin film metamaterial structures suggest their usage in IR-optical spectrum, their very high dielectric constant provides a rare opportunity to lower their resonant frequency to the frequency range of interest. This presentation will discuss the opportunities and challenges associated with the metamaterial complex oxide thin film structures including numerical investigations of the resonant frequency shift as a function of the complex oxide thin film dielectric constant and thickness. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C22.00010: Analysis of Cyclotron Resonance Spectroscopy in a Landau-quantized 2DEG using Characteristic Matrix Methods David Hilton We develop a new characteristic matrix-based method to analyze cyclotron resonance experiments in high mobility ($\mu_e = 3.7 \times 10^6 ~\mathrm{cm}^2~\mathrm{V}^{-1} ~\mathrm{s}^{-1}$) two-dimensional electron gas samples where direct interference between primary and satellite reflections has previously limited the frequency resolution. We use terahertz time-domain spectroscopy to measure the cyclotron resonance and extract the dephasing lifetime where multiple pulses from the substrate with a separation of $\sim 15$ ps directly interfere in the time-domain. We find a cyclotron dephasing lifetime of $15.1\pm 0.5$ ps at 1.5 K and $5.0\pm 0.5$ ps at 75 K. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C22.00011: Tunable Plasmonic Crystals Induced from a Two Dimensional Electron Gas Gregory Dyer, Gregory Aizin, S. James Allen, Albert Grine, Don Bethke, John Reno, Eric Shaner A two dimensional electron gas (2DEG) with periodic variation of its screening,$^{1}$ geometry,$^{2}$ or carrier density$^{3}$ provides an electromagnetic medium for the formation of a broadly tunable plasmonic crystal (PC). By using a periodic gate to control the 2DEG density in GaAs/AlGaAs heterostructures, we have induced terahertz (THz) PCs consisting of several bipartite crystal units cells. The PC band structure, Tamm states, and electromagnetically induced transparency phenomena are observed utilizing a gate-controlled defect adjacent to the PC to generate a plasmonic mixing photovoltage. These integrated PCs have potential applications in the areas of frequency selective THz detection, strong light-matter interaction, and planar metamaterials. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000. The work at Sandia National Laboratories was supported by the DOE Office of Basic Energy Sciences. $^{1}$U. Mackens, et. al., Phys. Rev. Lett. \textbf{53}, 1485 (1984). $^{2}$V. M. Muravev, et. al., Phys. Rev. Lett. \textbf{101}, 216801 (2008). $^{3}$G. C. Dyer, et. al., Phys. Rev. Lett. \textbf{109}, 126803 (2012). [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C22.00012: Controlling Metamaterial Field Enhancement at Terahertz Frequencies George Keiser, Huseyin Seren, Xin Zhang, Richard Averitt With the advent of metamaterials has come an unprecedented ability to manipulate and engineer the index of refraction, n, and impedance, Z of materials. Engineering these far field properties has led to exciting developments such as negative index materials, electromagnetic cloaks, and perfect lensing. However, metamaterials can also be used to engineer designer microscopic charge distributions, current distributions, and polarizabilities. For instance, the on-resonance charge distribution in the capacitive gap of a split ring resonator (SRR) creates a localized region of high electric field enhancement that has seen prominent application in recent work. Here, we present a method to tune the magnitude of this resonant electric field enhancement. Via structural manipulation of the coupling between the SRR and a non-resonant closed conducting ring, we are able to increase and decrease the oscillator strength of the SRR and thus the field enhancement in the SRR's capacitive gap. We present numerical simulations and experimental measurements at terahertz frequencies to confirm this result. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C22.00013: Characterization of Micromachined Air-lifted Terahertz Antenna Arrays Chang Long, Luyi Yan, Cheolbok Kim, Daniel J. Arenas, Yong-Kyu Yoon, David B. Tanner We have designed and fabricated micromachined three dimensional (3-D) air-lifted monopole antenna arrays. The air-lifted antenna arrays have been fabricated using high-aspect-ratio epoxy structures defined by ultraviolet (UV) lithography and subsequent metallization by thin film metal sputtering. The uniqueness of this monopole antenna lies in its strong coupling to incident THz waves with E-field perpendicular to the substrate, which is not the case with most substrate-printed antennas. A Bruker 113v FTIR system has been used to characterize the fabricated arrays for both $\textit{s}$- (E-field perpendicular to the plane of incidence) and $\textit{p}$- (E-field parallel to the plane of incidence) polarized light over 30-600 $cm^{-1}$/1-20 THz. We measured monopole antenna arrays with diameters of 5 $\mu$m and different heights from 20 $\mu$m to 60 $\mu$m. Measurement results are compared to resonant frequency calculations and simulations. The results are in good agreement with those of the simulations. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C22.00014: Photo-imprinted diffraction gratings for controlling terahertz radiation. Ioannis Chatzakis, Philippe Tassin, Liang Luo, Nian-Hai Shen, Lei Zhang, Jigang Wang, Thomas Koschny, Costas M. Soukoulis We investigate the diffraction of terahertz radiation by photo-imprinted conductive periodic structures. The diffraction gratings are created by optically projecting the image of a metal mask on a high-resistivity GaAs substrate, resulting in a periodic structure of photo-excited charge carriers that scatter terahertz waves. Using terahertz time domain spectroscopy, we show that the terahertz transmission spectra depend characteristically on the lattice constant of the photo-imprinted linear gratings and on the polarization state of the incident terahertz wave, but the transmitted radiation does not depend on the duty cycle of the projected gratings. These experimental results, combined with computer simulations of the structure, confirm that the gratings are purely diffraction-based and are not caused by surface modes or quasistatic resonances. We also demonstrate two-dimensional photo-imprinted diffraction gratings. We anticipate that our findings will have significant impact on the development of reconfigurable components for controlling the terahertz radiation. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C22.00015: Nonlinear THz Plasmonic Disk Resonators Huseyin Seren, Jingdi Zhang, George Keiser, Scott Maddox, Kebin Fan, Lingyue Cao, Seth Bank, Xin Zhang, Richard Averitt Particle surface plasmons (PPSs) at visible wavelengths continue to be actively investigated with the goal of nanoscale control of light. In contrast, terahertz (THz) surface plasmon experiments are at a nascent stage of investigation. Doped semiconductors with proper carrier density and mobility support THz PSPs. One approach is to utilize thick doped films etched into subwavelength disks. Given the ease of tuning the semiconductor carrier density, THz PSPs are tunable and exhibit interesting nonlinear THz plasmonic effects. We created THz PSP structures using MBE grown 2um thick InAs films with a doping concentration of 1e17cm$^{\mathrm{-3}}$ on 500um thick semi-insulating GaAs substrate. We patterned 40um diameter disks with a 60um period by reactive ion etching. Our THz time-domain measurements reveal a resonance at 1.1THz which agrees well with simulation results using a Drude model. A nonlinear response occurs at high THz electric field strengths (\textgreater 50kV/cm). In particular, we observed a redshift and quenching of the resonance due to impact ionization which resulted in changes in the carrier density and effective mass due to inter-valley scattering. [Preview Abstract] |
Session C23: Focus Session: Dopants and Defects in Semiconductors III
Sponsoring Units: DMPChair: Matt McCluskey, Washington State University
Room: 325
Monday, March 18, 2013 2:30PM - 3:06PM |
C23.00001: Highly Efficient Defect Emission from ZnO:Zn and ZnO:S Powders Invited Speaker: Henry Everitt Bulk Zinc Oxide (ZnO) is a wide band gap semiconductor with an ultraviolet direct band gap energy of 3.4 eV and a broad, defect-related visible wavelength emission band centered near 2 eV. We have shown that the external quantum efficiency can exceed 50{\%} for this nearly white emission band that closely matches the human dark-adapted visual response. To explore the potential of ZnO as a rare earth-free white light phosphor, we investigated the mechanism of efficient defect emission in three types of ZnO powders: unannealed, annealed, and sulfur-doped. Annealing and sulfur-doping of ZnO greatly increase the strength of defect emission while suppressing the UV band edge emission. Continuous wave and ultrafast one- and two-photon excitation spectroscopy are used to examine the defect emission mechanism. Low temperature photoluminescence (PL) and PL excitation (PLE) spectra were measured for all three compounds, and it was found that bound excitons mediate the defect emission. Temperature-dependent PLE spectra for the defect and band edge emission were measured to estimate trapping and activation energies of the bound excitons and clarify the role they play in the defect emission. Time-resolved techniques were used to ascertain the role of exciton diffusion, the effects of reabsorption, and the spatial distributions of radiative and non-radiative traps. In unannealed ZnO we find that defect emission is suppressed and UV band edge emission is inefficient (\textless\ 2{\%}) because of reabsorption and non-radiative recombination due to a high density of non-radiative bulk traps. By annealing ZnO, bulk trap densities are reduced, and a high density of defects responsible for the broad visible emission are created near the surface. Interestingly, nearly identical PLE spectra are found for both the band edge and the defect emission, one of many indications that the defect emission is deeply connected to bound excitons. Quantum efficiency, also measured as a function of excitation wavelength, closely mirrors the PLE spectra for both emission bands. Sulfur-doped ZnO exhibits additional PLE and X-ray features indicative of a ZnS-rich surface shell that correlates with even more efficient defect emission. The results presented here offer hope that engineering defects in ZnO materials may significantly improve the quantum efficiency for white light phosphor applications. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C23.00002: Optical and Magnetic Resonance Studies of Na-Diffused ZnO Bulk Single Crystals E.R. Glaser, N.Y. Garces, N.S. Parmar, K.G. Lynn Photoluminescence (PL) and optically-detected magnetic resonance (ODMR) at 24 GHz were performed on bulk ZnO crystals after diffusion of Na impurities that were explored as an alternate doping source for p-type conductivity. PL at 2K revealed strong bandedge excitonic recombination at 3.361 eV and a broad ``orange'' PL band at 2.17 eV with FWHM of $\sim$0.5 eV. This ``orange'' emission is very similar to that reported previously\footnote{D. Zwingel and F. Gartner, Solid State Commun. 14, 45 (1974).} from thermoluminescence measurements of intentionally Na-doped bulk ZnO and, thus, strongly suggests the incorporation and activation of the Na-diffused impurities. ODMR performed on this ``orange'' PL revealed two signals. The first was a sharp feature with g-value of $\sim$1.96 and is a well-known ``fingerprint'' of shallow donors in ZnO. The second signal consisted of a pair of lines with an intensity ratio of $\sim$3:1 and with g-tensors (g$_{\parallel}$,g$_{\perp}$$\sim$2.008-2.029) very similar to ESR signals attributed previously\footnote{Ibid.} to holes bound to Na impurities located at the axial and non-axial Zn host lattice sites in Na-doped ZnO. Thus, the ``orange'' PL can be tentatively assigned to radiative recombination between residual shallow donors and deep Na-related hole traps. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C23.00003: Quantum Emission from Defects in ZnO N.R. Jungwirth, E.R. MacQuarrie, H.S. Chang, G.D. Fuchs Single defects in wide bandgap semiconductors, such as nitrogen-vacancy centers in diamond, are promising candidates for solid state qubits and single photon sources.~ Additionally, single defect studies provide an opportunity to probe properties and dynamics that are washed out of ensemble measurements. Despite the wealth of available semiconducting hosts, investigations of isolated defects in semiconductors other than diamond are limited. Here we present confocal photoluminescence measurements of ZnO nanocrystals that are excited with below bandgap light to selectively address individual deep levels. In addition to wavelength-resolved and time-resolved photoluminescence measurements, we report photon anti-bunching that is consistent with quantum emission from isolated defects. These measurements, made at the single and few defect level, enable insight into long-standing questions that surround defect emission in ZnO. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C23.00004: Rare-earth doped Si-rich ZnO for multiband near-infrared light emitting devices Emanuele Francesco Pecora, Thomas Ian Murphy, Luca Dal Negro Transparent Conductive Oxides (TCOs) are a broad class of organic and inorganic materials exhibiting both optical transparency and electrical conductivity simultaneously. TCOs are utilized as top-con tact passive layers in a number of optoelectronic devices, including flat panel displays and solar cells. Recently, they are also attracting considerable attention as an active platform for a wide range of novel device applications. Zinc oxide (ZnO) is the most promising candidate for optoelectronic integration due to its low cost and Si compatibility. Moreover, it is a biocompatible material and possibly biodegradable. We fabricated rare earth-doped Si-rich ZnO thin films through magnetron sputtering and we investigate their near-infrared emission properties under both optical and electrical injection. Er and Nd efficient (3ms RT lifetime) radiative transitions were simultaneously activated due to energy transfer via the ZnO direct bandgap and its luminescent defect centers. Moreover, by incorporating Si atoms, we demonstrate Si-mediated enhancement of light emission in Er-doped ZnO, and electroluminescence. We fabricated a proof-of-concept 1.55$\mu $m-electroluminescent device with record low turn-on voltage (\textless 1.5V) in Er-doped Si-rich ZnO at room temperature. These results pave the way to novel Si-compatible light emitters that leverage the optically transparent and electrically conductive ZnO matrix for multiband near-IR telecom and bio-compatible applications. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C23.00005: Synthesis of ZnO:Ge Thin Films via Plasma Gas Condensation Abdullah Ceylan, Janan Ali, Sadan Ozcan we introduce a new method for the synthesis of Ge nanoparticle embedded ZnO thin films that are considered to be a potential candidate for photovoltaic applications. As opposed to current techniques, for the independent preparation of Ge nanoparticles, Cluster Deposition Source (CDS) utilising gas condensation of sputtered Ge atoms is used. For the synthesis of ZnO thin film host material conventional sputtering is employed. In the proposed technique independently synthesized Ge nanoparticles and ZnO thin films are combined into a composite structure on Si. XRD patterns of the samples have revealed that Ge nanoparticles preferentially settle on (113) planes on top of the (002) oriented ZnO layer. It is realized that Ge nanoparticles with sizes ranging from 16 nm to 20 nm could be embedded into a well-defined ZnO matrix. In fact, TEM studies performed on Ge nanoparticles captured on a Cu grids have manifested that Ge reach to ZnO matrix as clusters composed of particles with sizes of about 7-8 nm and then eventually grow larger due to substrate heating implemented during capping layer deposition. Optical absorption measurements have revealed that Ge nanoparticle inclusion lead to an additional absorption edge at about 2.75 eV along with 3.17 eV edge resulting from ZnO host. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C23.00006: Identification of a N-related shallow acceptor and related EPR center in ZnO: N2 on Zn site Walter R.L. Lambrecht, Adisak Boonchun While the deep level of N$_{\rm O}$ makes it unsuitable for p-type doping of ZnO, a shallow level at about 165$\pm40$ meV above the VBM related to N is known to exist in ZnO (Zeuner et al. 2002). Here we show that a N$_2$ molecule on the Zn site behaves as a shallow acceptor. First-principles calculations show that when N$_2$ is placed on a Zn site, two electrons are removed from the $\sigma_g^+$ HOMO. The molecular levels line up with the ZnO band strucure in such a way that the $\sigma_g^+$ level forms a resonance near the VBM. In contrast, for N$_2$ on the O-site, two extra electrons occupy the $\pi_g$ LUMO of the N$_2$ molecule and form a donor level. The 0/- transition level of the acceptor is found at $\sim$0.2 eV above the VBM. When singly occupied the defect corresponds to a N$_2^+$ radical. We show that the $g$-factor, calculated within a simple tight-binding model, of this radical agrees better with an observed EPR center by Garces et al. (2003) than the N$_2^-$ radical. The $\sigma_g$ nature of the defect wavefunction for N$_2$ on Zn is consistent with a significant isotropic hyperfine interaction, while the $\pi_g$ character of N$_2$ on O is not. The lower value of $A_{iso}$ compared to the isolated molecule is consistent with the shallow nature of the defect. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C23.00007: Quantum Monte Carlo calculation of point defect thermal and optical ionization levels: application to magnesium oxide and zinc oxide Elif Ertekin, Lucas Wagner, Jeffrey Grossman From electronics to optoelectronics to photovoltaics, point defects influence and dominate the properties of semiconducting materials. Quantitative descriptions of the effect of point defects on electronic, optical, and transport properties are critical to enabling point-defect engineering for materials design. However, accurate prediction of point-defect energetics, thermal ionization energies, and optical transition energies from first principles remains a challenge. We present an approach to calculation of point defect optical and thermal ionization energies based on the highly accurate quantum Monte Carlo methods, and demonstrate it for the oxygen vacancy in the binary ionic compound magnesium oxide and the substitutional nitrogen impurity in zinc oxide. The use of quantum Monte Carlo, an inherently many--body theory that directly treats electron correlation, offers many improvements: it can help overcome the band gap problem in density functional theory and obviate the need for ad-hoc corrections. Our computed optical and thermal ionization energies are in excellent agreement with experimental and/or other high-accuracy results. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C23.00008: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 4:30PM - 4:42PM |
C23.00009: Non-stoichiometric Mn doped ZnO clusters: First principles calculations Sachin P. Nanavati, Shailaja Mahamuni, S.V. Ghaisas, Vijay Kumar It has been reported that cage like hollow clusters of (ZnO)$_n$ with $n$ = 12 \& 34 are stable and hence {\it magic}. Doping Mn impurity in ZnO (ZnO:Mn) clusters is a well studied problem. In most of the studies, single Mn doping has been achieved by substituting it on a surface Zn site, leading to a stoichiometric configuration of Zn$_{n-1}$MnO$_n$ and a large magnetic moment of 5 $\mu_B$. However, we show that using first principles methods, Mn doping would lead to O rich, non-stoichiometric clusters with significantly reduced magnetic moment. Specifically, we show that clusters of configuration Zn$_{12}$MnO$_{15}$ and Zn$_{34}$MnO$_{37}$, obtained when Mn is substituted in (ZnO)$_n$ ($n$ = 13 \& 35) cages, become magic. The magnetic moments in these clusters is reduced to 1 $\mu_B$. These clusters can also be considered as a composite structure where a MnO$_x$ ($x$ = 1 to 4) molecule is attached to ZnO$_n$ ($n$ = 12 \& 34) cages from outside.\footnote{S. P. Nanavati et al. Phys. Rev. B (in press, 2012).} We believe that these results would have important implications for the understanding of magnetism in ZnO:Mn nanostructures as well as thin films, for which recent experiments suggest mixed and higher oxidation states of Mn, {\it viz.,} Mn$^{+3}$ and Mn$^{+4}$. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C23.00010: Theoretical study of preferred dopants for n-type transparent conducting oxides Su-Huai Wei, Chong Li, Jingbo Li, Wanjian Yin, Yanfa Yan Traditionally, it is believed that the conduction band edges of $d^{0}$ or $d^{10}$ oxides are derived mostly from cation states, thus substitutional doping on anion sites is expected to cause less perturbation and produce shallow donor levels in these materials. Using first-principles calculations, we show that although this paradigm is applicable for more covalent oxides such as SnO$_{\mathrm{2}}$ where F$_{\mathrm{O}}$ is a better n-type dopant than Sb$_{\mathrm{Sn}}$, for more ionic oxides such as ZnO, the conduction band edge actually contains a considerable amount of O $s$ orbitals, thus F$_{\mathrm{O}}$ in ZnO causes larger perturbation and consequently produces deeper donor levels than cation site doping such as Al$_{\mathrm{Zn}}$. This observation can be explained by coupling of cation state with high lying oxygen orbitals. The origin of the preferred n-type dopability of oxides, the potential of oxygen vacancy as n-type dopant, and the selection of chemical potential for n-type doping will also be discussed. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C23.00011: Strong enhancement of the luminescence decay time of isoelectronic centers in GaP:N at low temperatures Philippe St-Jean, Gabriel Ethier-Majcher, Alaric Bergeron, Sebastien Francoeur Using time-resolved photoluminescence, the recombination dynamics of excitonic states bound to isoelectronic centers formed by either one or a pair of nitrogen atoms in GaP is investigated as a function of internuclear distance and temperature. Depending on their symmetry, centers formed by a pair of atoms exhibit several optical transitions that are, according to the excitonic state involved, either linearly polarized or unpolarized. At 4 K, for all nitrogen pairs studied, relatively long lifetimes approaching 1 $\mu$s are observed. Interestingly, these lifetimes vary considerably between excitonic states and ranges from 500 to 800 ns. This strong variation decreases with temperature, leading to similar lifetimes. Furthermore, as the temperature is increased to 30 K, all lifetimes decrease by about an order of magnitude, down to 60-90 ns, as previously reported. A thermodynamic model of the evolution of excitonic populations shows that a thermally activated process of about 2.5 meV characterizes this temperature behavior. This activation energy corresponds to an inter-level transfer between excitonic states. These findings enhance our understanding of the dynamics of carriers bound to isoelectronic centers, which are promising candidates for atomic-sized charge storing device. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C23.00012: Mechanism for conduction in polycrystalline p-type indium oxide films Jolanta Stankiewicz, Francisco Villuendas We report (i)- results from {\it ac} impedance measurements obtained for intrinsic indium oxide films, grown under O$_2$-rich conditions, (ii)- current-voltage (I-V) curves for {\it p-n} homojunctions fabricated by sequential growth of a 200 nm thick {\it p}--type In$_2$O$_3$ layer on a 400 nm thick {\it n}--type In$_2$O$_3$, and (iii)- capacitance-voltage (C-V) curves for these junctions. Impedance as well as I-V and C-V measurements were performed under UV irradiation and in darkness. We find two distinct contributions to the {\it ac} conductivity. One of them is brought about by grain boundaries, and the other one by inversion layers, which are on grain surfaces. In addition, we have found that photocurrents relax extremely slowly in these films. All of this fits consistently within a model in which mobile holes in inversion layers are responsible for {\it p}-type {\it dc} conductivity in intrinsic indium oxide films grown under O$_2$-rich conditions. Such mechanism might be important in other polycrystalline thin films which have a large number of oxidizing defects at grain boundaries. [Preview Abstract] |
Session C24: Focus Session: Materials in Extremes: Chemistry under Extreme Conditions
Sponsoring Units: GSCCM DCOMP DMPChair: Jonathan Crowhurst, Livermore National Laboratory
Room: 326
Monday, March 18, 2013 2:30PM - 3:06PM |
C24.00001: Meso-scale Simulations and Instrumented Experiments in Metastable Intermolecular Composites Invited Speaker: Naresh Thadhani Impact initiation of reactions in various aluminum-based intermolecular composites in the form of powder mixture compacts and cold-rolled laminates are being investigated using instrumented gas-gun impact experiments under conditions of uniaxial-strain and uniaxial-stress loading. Time-resolved stress and particle velocity measurements as well as high-speed imaging are used for monitoring the deformation and reaction states to obtain evidence of reaction based on changes in compressibility and shock-velocity, as well as via direct light emission. Meso-scale numerical simulations with CTH multimaterial hydrocode are also performed on actual (imported) micrographs. The simulations allow qualitative and quantitative probing of the local configurational changes and their effects on impact-initiated reaction mechanisms, following validation of macroscopic properties by correlations with experiments. The heterogeneous nature of wave-propagation through reactants of dissimilar elastic and plastic properties and morphological characteristics, produce effects that give rise to turbulent flow, vortex formation, and dispersion of reactants across large distances. Understanding of these processes as a function of mathematically represented constituent configuration and state of stress/strain is essential for designing energetic/reactive materials systems with tunable energy release characteristics. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C24.00002: Polymerization in Substituted Acetylenes: A Comparison between Static, Medium-Strain Rate, and Shock Compression Studies. Raja Chellappa, Dana Dattelbaum, Nenad Velisavljevic, Hanns-Peter Liermann Fast timescale of reactions occurring during shock compression create significant diagnostics challenges to fully quantify the mechanisms involved. Static compression provides a complementary route to investigate the equilibrium phase space and metastable intermediates during high pressure chemistry. Intermediate strain rate compression (0.001/s or higher) with time-resolved probes is a novel way to extract reaction kinetics and underlying pathways. In this study, we present our results from high pressure in situ synchrotron x-ray diffraction (XRD) and infrared (IR) spectroscopy studies on substituted acetylenes: tert-butyl acetylene [TBA: (CH3)3-C$\equiv $CH] and ethynyl trimethylsilane [ETMS: (CH3)3-SiC$\equiv $CH]. We observed that the onset pressure of chemical reactions at room temperature (C$\equiv $C $\to $ C$=$C polymerization) in these compounds was typically higher in static compression (TBA: 11 GPa and ETMS: 26 GPa) when compared to shock input pressures (TBA: 6.1 GPa and ETMS: 6.6 GPa). Expectedly, thermal effects during heating drive the threshold pressure were close to shock conditions as observed during the high temperature measurements. Under compression at medium strain rate (1 GPa/s or higher), a clear progression of the chemical reaction was observed via time-resolved XRD patterns obtained at 0.5s intervals. It is noted that the reaction products were visually observed to be glassy and recovered to ambient conditions, remaining stable with no degradation. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C24.00003: Cellular Structure and Oscillating Behavior of PBX Detonations Igor Plaksin, Ricardo Mendes Efforts are aimed on bridging experimental and theoretical studies of localizations/instabilities manifested in detonation reaction zone (DRZ) at micro-, meso-, and macro-scale. In molecular level, the theoretical/computational studies of detonation (RDX, HMX) show: reaction localizations onset/growth is caused by kinetic nonequilibrium stimulated by different levels of activation barriers/reaction energies at bonds dissociation processes (C-NH2, C-NO2, C$=$C). At micro- and meso-scale levels, leading role of kinetic nonequilibrium in reaction localizations onset was established in experiments with single beta-HMX crystals-in-binder subjected to 20 GPa-shock and PBX detonation. Reaction localizations and further ejecta formation were spatially resolved by 96-channel optical analyzer at simultaneous recording reaction light and stress field around crystal. Spatially-resolved measurements reveal fundamental role of shear-strain in triggering initiation chemistry. At macro-scale level, formation of the cell-structures and oscillating detonation regimes revealed in HMX- and RDX-based PBXs at wide variation of grain-sizes, wt. {\%} filler/binder, residual micro-voids and binder nature. Emphasizes placed on effect of DRZ-induced radiation upon oscillating regimes of detonation front motion. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C24.00004: Ultrafast shock induced chemistry in hydrogen peroxide Michael Armstrong, Joseph Zaug, Nir Goldman, I-Feng Kuo, Jonathan Crowhurst, W. Michael Howard, Jeffrey Carter, Michaele Kashgarian, John Chesser, Troy Barbee, Sorin Bastea Although strong compression waves have been used to study the equilibrium high pressure and temperature properties of materials for more than half a century, the study of ultrafast strain rate dependent material transformations, while promising, is only beginning to be fully explored. Shock waves can change the thermodynamic state of a material over a picosecond time scale, i.e. faster than the time scale of quasi-equilibrium reaction kinetics for many reactive systems. This fundamental property of shock compression suggests the possibility of selecting reaction paths via modulation of applied compression waves on a time scale that is faster than the time scale of reaction kinetics. Here we present experiments and thermochemical and molecular dynamics simulations on a model system, hydrogen peroxide, which demonstrate that the applied strain rate can be used alongside the pressure and temperature to control reactivity in bulk matter, thus enabling the exploration of otherwise inaccessible chemical reaction paths. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C24.00005: A possible crystal defect mediated mechanism governing energy release in solid organic secondary explosives Bryan Henson, Laura Smilowitz Work has been ongoing in our group for several years to produce a global chemistry model of thermal ignition for the solid organic secondary explosive octahydro-1,3,5,7-tetranitro-1,3,5,7-tetrazocine (HMX) valid over the entire temperature range of energetic response from thermal ignition to detonation. We have made considerable progress recently, resulting in the first broadly accurate model of this type for HMX. We have also recently provided the first theory of the phenomenon of melt acceleration in the thermal decomposition which indicates a universal mechanism applicable to this entire class of materials. The success of these models derives from the kinetic rate equations used, which are based upon rates activated by energies of vaporization and sublimation. The equations can be reduced to dimensionless form, yielding melt accelerated rates of thermal decomposition, ignition and detonation which are functions of two rate constants, one proportional to the liquid activity and another that can be interpreted as the simultaneous occupation of two defect states of the crystal. In this reduced form, data from a number of secondary explosives may be superposed on common curves. In this talk we explore the possibility that the underlying mechanism responsible for this behavior is linked to the equilibrium population of a crystal defect described by a vacancy in contact with local disorder. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C24.00006: Fragmentation of explosively driven Al-W granular composite rings Karl Olney, Po-Hsun Chiu, Chris Braithwaite, Andrew Jardine, Adam Collins, David Benson, Vitali Nesterenko Al oxidation has a chemical potential nearly 5 times that of traditional high explosives, however, the oxidation rate scales with the Al particle size. To oxidize on a time scale of $\sim$1ms, Al particle size needs to be on the order of 20microns. Continuum theory and experiments of homogeneous materials show that fragments generated under typical loading conditions have much larger sizes (order 1-10mm). Using a highly heterogeneous material with constituents that have drastically different shock impedances (such as Al and W) provides additional mesoscale mechanisms that allow for further pulverization of the material into smaller fragments. Explosively driven expanding ring experiments were conducted on Al-W granular composite rings and recovered fragments showed a significant reduction in the fragment size compared to a homogeneous sample. Examination of the fragments under SEM showed a propensity for fragments to be composed of a cluster of Al and W particles with little plastic deformation in the interior Al. Hydrocode simulations were conducted to gain an insight into this clustering behavior. Understanding of the mesoscale mechanisms may be used to generate mesostructures that could tailor the size of generated fragments based on the loading conditions. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C24.00007: Dynamic Behaviors of Two PBX Explosives under Ramp Wave Loading Guiji Wang, Jintao Cai, Yanhong Zhao, Haifeng Song By means of the magnetic force produced by pulsed power generator CQ-1.5 and CQ-4, two PBX explosives are dynamically characterized under ramp wave loadings from several GPa to 10 GPa in experiments and calculations. The experimental and calculated results show that the PBX explosives exhibit visco-elastic or elastic effects, and the Mie-Gr\"{u}neisen EOS can't well reflect the dynamic nature of PBX-1 and PBX-2 explosives at lower pressure of below 1 GPa. And it can describe their dynamic behaviors well above 1GPa. In this paper, the SG constitutive model is also used to describe this property of PBX9501, which shows good agreement with the experimental results and those of calculated from visco-elastic model by Baer. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C24.00008: Novel energetic materials for quantum optical initiation Robert Scharff, Margo Greenfield, Shawn McGrane, David Moore, David Chavez, Sergei Tretiak, Tammie Nelson The development of new photoactive materials, which optically initiate through quantum controlled photochemical dynamics, would provide a transformational advancement in the laser-based ignition of energetic materials. Ideal materials should have low initiation thresholds for specific optical pathways while simultaneously having high initiation thresholds for all other conventional stimuli. Optical control can only be effective in newly designed materials that are synthesized to take advantage of such control; consequently, quantum control of optical initiation requires a thorough understanding of the excited state molecular dynamics that leads to photochemical decomposition. To date, our efforts have focused on making new materials with energetic optical chromophores and validation of their non-linear optical response properties through experiment and simulation. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C24.00009: Molecular dynamics simulation of spinning detonation in energetic AB material Vasily Zhakhovsky, Mikalai Budzevich, Aaron Landerville, Ivan Oleynik, Carter White Spinning detonation-wave structure is observed in molecular dynamics simulation of a solid energetic material (EM) confined in the round tube with smooth walls. The EM is represented by a modified AB model with adjustable barrier height for exothermic reaction AB$+$B $\rightarrow$ A$+$BB, which allows us to study the evolution of detonation-wave structure produced by instabilities of the planar detonation front as a function of physico-chemical properties of the EM material, including its thermochemistry and reactive equation of state. The planar detonation wave in a tube of relatively small radius evlolves into an unstable pulsating detonation through the development of longitudinal perturbations, which can later lead to a collapse of the detonation wave. However, as the tube radius is increased, the detonation wave structure is stabilized by a development of a single-headed spinning detonation having an unusual four-wave configuration. Further increase of the tube radius results in a multi-headed detonation structure with turbulent-like distributions of pressure and other physical variables at the front, similar to that observed in gases. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C24.00010: Quantum mechanical simulations of condensed-phase decomposition dynamics in molten RDX Igor Schweigert A reaction model for condensed-phase decomposition of RDX under pressures up to several GPa is needed to support mesoscale simulations of the energetic material's sensitivity to thermal and shock loading. A prerequisite to developing such a model is the identification of the chemical pathways that control the rate of the initial dissociation and the subsequent decomposition of the dissociation products. We use quantum mechanics based molecular dynamics simulations to follow the decomposition dynamics under high-pressure conditions and to identify the reaction mechanisms. This presentation will describe current applications to liquid-phase decomposition of molten RDX. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C24.00011: Formation of 2D Graphene-like Structures in Reacting Carbon-Rich Energetic Materials Riad Manaa, Laurence Fried The late stages of extreme reactivity in carbon-rich energetic materials such as 1,3,5-triamino-2,4,6-trinitrobenzene (TATB) are characterized by the slow evolution of carbon to different phases. Slow growth from clusters to graphite and even nano-diamonds have been noted experimentally in detonating TATB. We conducted long-time scale, constant volume-temperature molecular dynamics simulations on pre-shocked TATB crystals for over 3 nanoseconds. Using the reactive force-field Reaxff, and at conditions of temperatures of 2500 and 3000 K, and a pressure of 16 $\sim$ 20 GPa, we discover the formation of 2D graphene-like structures of predominantly carbon, with very low heterogeneity of oxygen and nitrogen at the edges. While these simulations have enabled us to track the reactivity of TATB well into the formation of several stable gas products, such as H$_{2}$O, N$_{2}$, and CO$_{2}$, the formation of graphene-like structures and its slow evolution into final graphite and diamond like structures may finally explain the very low reactivity of TATB, as evidenced in its large reaction zone. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C24.00012: A Generalized Reduced Model of Uniform and Self-Propagating Reactions in Reactive Nanolaminates Leen Alawieh, Omar Knio, Timothy Weihs Reactive nanolaminates are comprised of alternating layers of materials that react exothermically. Self-propagating reaction fronts, traveling at speeds that can exceed 10m/s, can be initiated in these materials using an external heat source. The wide range of length and time scales involved in such reactions presents a typical modeling challenge due to the inherent interplay of the different scales in the underlying dynamics and the eventual end-product. In this presentation, we will discuss the development of a reduced reaction model for Ni/Al nanolaminates. The model incorporates a generalized, anisotropic description of thermal transport that also accounts for the dependence of thermal conductivity on composition and temperature. A generalized description of intermixing is also developed, that incorporates information derived from disparate experimental observations, and molecular dynamics (MD) computations. Using insights gained from MD computations, intermixing is described using a simplified, temperature-dependent composite diffusivity relation that enables us to reproduce measurements of low-temperature ignition, homogeneous reactions at intermediate temperatures, as well as the dependence of reaction fronts on micro-structural parameters. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C24.00013: Ultrafast Vibrational Spectroscopy of Shock Compressed and Flash-Heated Single Molecular Layers Christopher Berg, Alexei Lagutchev, Dana Dlott We report the shock compression and flash-heating of single molecular layers on metallic substrates probed with an ultrafast nonlinear coherent vibrational spectroscopy, vibrational sum frequency generation (SFG). Laser-driven shock compression and flash-heating resulted in pressures of a few GPa and temperatures greater than 500 K, respectively. Due to shock velocities of a few nm/ps, single molecular layers allowed picosecond time resolution of shock loading. Monolayers further allowed the measurement of heat transport from the monolayer-metal anchor point to the monolayer's terminus. SFG spectroscopy was utilized due to its sufficient monolayer sensitivity. Shock loading dynamics were analyzed with the help of static high pressure measurements in a diamond anvil cell, and flash-heating results were compared with simulations.\footnote{Y. Zhang, \textit{et al.}, Phys. Chem. Chem. Phys. 12, 4435-4445 (2010).}$^,$\footnote{P. Manikandan, \textit{et al.}, J. Phys. Chem. C 115, 9622--9628 (2011).} [Preview Abstract] |
Session C25: Superconducting Qubits: 3D Architecture
Sponsoring Units: GQIChair: David Schuster, University of Chicago
Room: 327
Monday, March 18, 2013 2:30PM - 2:42PM |
C25.00001: Approaching 10 Milliseconds for Aluminum Cavities in the Quantum Regime Matthew Reagor, Hanhee Paik, Gianluigi Catelani, Luyan Sun, Christopher Axeline, Teresa Brecht, Jacob Blumoff, Luigi Frunzio, Leonid Glazman, Robert Schoelkopf One of the most promising solid state quantum computing architectures couples superconducting qubits to microwave resonators (circuit QED), a system in which three-dimensional microwave cavities have become a valuable resource. Participation-ratio calculations predict at least four orders of magnitude longer lifetimes in 3D cavities than their planar resonator counterparts with equal material losses. Motivated by this principle, we report multiple superconducting aluminum cavities with lifetimes on the order of 10ms at single photon power and millikelvin temperatures. We also present details on extracting the materials properties and the noise performance of a long lived superconducting cavity resonator, including bounds on the intrinsic dephasing time (T$_{\phi}$) of such a resource. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C25.00002: Materials Effects in 3D-Cavity Transmon Qubits Daniela F. Bogorin, Matthew Ware, Stephen Sorokanich, B.L.T. Plourde Recent experiments have demonstrated significant increases in the coherence of superconducting transmon qubits coupled to three-dimensional microwave cavities. We are investigating the effects of different materials for forming such cavities, as well as various surface treatments of the cavity walls, including electropolishing and electroplating. In addition, we are exploring the influence of the superconducting material that forms the qubit capacitor along with the material that forms the substrate on which the qubit is fabricated. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C25.00003: Coherence of Superconducting Whispering Gallery Resonators Zlatko Minev, Ioan Pop, Dominic Kwok, Michel Devoret Quantum signal processing applications rely on the design of microwave resonators with quality factors at the single photon level exceeding a million. We present a novel on-chip whispering gallery mode resonator formed by two superconducting rings on separate wafers facing each other. The mode energy is principally housed in the lossless vacuum between the rings. We measure internal quality factors of a few million at the single photon level. The superconducting whispering gallery resonator is easily integrable with superconducting qubits. It also constitutes a new tool to characterize thin film material properties. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C25.00004: Deterministic creation of Schrodinger cat states in a superconducting waveguide cavity Brian Vlastakis, Gerhard Kirchmair, Zaki Leghtas, Simon Nigg, Luigi Frunzio, Steven Girvin, Mazyar Mirrahimi, Robert Schoelkopf Off-resonant coupling of a superconducting transmon qubit to a three-dimensional waveguide cavity provides a dispersive qubit/cavity interaction much stronger than any decay rates in the system. Using a two-cavity/single-qubit architecture, we utilize this interaction to deterministically map a qubit state to a superposition of coherent states in a cavity (up to a 40 photon separation). By measuring photon-number parity, we perform Wigner tomography that shows the characteristic interference inherent in quantum superpositions, thus confirming the non-classical properties of the cavity state. Furthermore, we extend this method[1] to create multi-component Schrodinger cat states including the four-component compass state. [1] Z. Leghtas et al. Deterministic protocol for mapping a qubit to coherent state superpositions in a cavity. arXiv.org quant-ph 1208.1603 (2012). [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C25.00005: Tunable 3D cQED: Implementation and Characterization Kevin Chou, Matthew Reed, Nissim Ofek, Jacob Blumoff, Brian Vlastakis, Gerhard Kirchmair, Simon Nigg, Luigi Frunzio, Steven Girvin, Robert Schoelkopf Significant progress has recently been made in improving the coherence of superconducting qubits by using the 3D cQED architecture. This current design is static, not allowing for the modulation of couplings and nonlinearities in situ. This limitation may prove to be an obstacle toward scaling this implementation into more complex systems. We present a new architecture which integrates high Q-factor 3D resonators with flux-tunable superconducting transmon qubits. In this talk, we will demonstrate full control over qubit frequency with minimal degradation to qubit and cavity lifetime. This capability allows the rapid and precise control over the system Hamiltonian to choose optimal couplings and nonlinearities as dictated by the experiment. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C25.00006: Tunable 3D cQED: Applications to Quantum Optics and Quantum Information Matthew Reed, Kevin Chou, Nissim Ofek, Jacob Blumoff, Brian Vlastakis, Gerhard Kirchmair, Zaki Leghtas, Simon Nigg, Luigi Frunzio, Steven Girvin, Mazyar Mirrahimi, Robert Schoelkopf The ability to control the frequency of a superconducting qubit on nanosecond timescales has been used, among other things, to generate multi-qubit entanglement. The recently developed 3D cQED architecture has yielded dramatic coherence improvements and novel methods of entangling fixed-tuned qubits, but has until now has lacked the ability to control qubit frequencies in situ. Adding this would grant several abilities. First, the coupling of a qubit to the cavity bus could be modulated to control both the inherited nonlinearity and the dispersive shift of the oscillator. Second, controlling the interactions between individual qubits, particularly those coupled to more than one cavity, could be used to shuttle quantum information between subsystems. Third, a small change to the physical implementation could yield efficient individual qubit QND readout or reset. These abilities are readily applicable to demonstrations of hardware-efficient quantum error correction, entanglement distillation between distant pairs of qubits, and teleportation of quantum information. In this talk, we will discuss our recent results toward achieving these capabilities using the tunable 3D cQED architecture introduced previously. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C25.00007: Extended coherence times of superconducting transmon qubits Erik Lucero, Matthias Steffen, Jay Gambetta, David Abraham, Antonio Corcoles As part of the IBM quantum computing effort, we are building on the pioneering work [1] and recent advances [2] on transmon qubits enclosed in three-dimensional cavities (``3D qubits''). To continue the advance of superconducting qubit architectures for surface code implementations it is clear that we must understand what is limiting coherence times and work to mitigate its effects. By leveraging the reduced fabrication requirements (compared to two-dimensional qubits) and full-device electromagnetic simulation, 3D qubits provide an insightful experimental test-bed to help determine the participation of decoherence mechanisms (e.g. materials, surfaces, radiation) in superconducting qubits. We report on coherence times that go beyond those reported recently [2], making 3D qubits a viable architecture for a prototype quantum processor. [1] Paik, et al., Phys Rev. Lett. 107 240501 [2] Rigetti et al., Phys. Rev. B~86, 100506 [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C25.00008: Fluxonium Qubit in a 3D Cavity: Design and Implementation I.M. Pop, K. Geerlings, N. Masluk, A. Kamal, G. Catelani, L. Glazman, M.H. Devoret We describe the implementation of a fluxonium artificial atom [1] with improved coherence times. Our qubit is inductively coupled to a Josephson junction resonator on a sapphire substrate, placed inside a 3D copper cavity. The keystone of the fluxonium qubit is its superinductance, which consists of an array of 90 Josephson junctions. We describe superinductance design improvements [2] which effectively eliminate spurious phase-slips and raise the self-resonant modes of the superinductance well above the frequency of the qubit. Networks of Josephson junctions will be useful for designing custom symmetries in cQED Hamiltonians.\\[4pt] [1] Manucharyan et al., Science, 326 (2009)\\[0pt] [2] Masluk et al., Phys. Rev. Lett. 109 (2012) [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C25.00009: Fluxonium Qubit in a 3D Cavity: Measurement and Analysis K. Geerlings, I.M. Pop, N. Masluk, A. Kamal, G. Catelani, L. Glazman, M.H. Devoret We present measurements of a fluxonium qubit [1] in a 3D copper cavity. The fluxonium qubit is composed of a Josephson junction shunted by an array of 90 larger Josephson junctions approximating a linear inductor. In a manner similar to transmon qubits, the coherence times of fluxonium in a 3D cavity have increased when compared to on-chip resonator implementations. Additionally, the fluxonium Hamiltonian can be, by design, less sensitive to decoherence than the transmon. We present measurements of relaxation times for the entire range of flux variation and discuss energy relaxation in light of dielectric, inductive, and quasiparticle losses. \\[4pt] [1] Manucharyan et al., Science, 326 (2009) [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C25.00010: A Study of the Multi-Mode Purcell Effect for a Transmon in 3D Circuit QED Andrei Petrenko, Luyan Sun, Jacob Blumoff, Simon Nigg, Steve Girvin, Robert Schoelkopf Although superconducting 3D transmon qubits offer a promising path toward realizing an architecture for quantum computation, they are still limited by decoherence processes that are not yet fully understood. Qubit $T_1$ relaxation due to the Purcell Effect presents one such limitation on coherence times, but thus far a complete model of Purcell processes for transmons in 3D cavities, beyond the approximation of a single cavity mode and lumped element qubit, has been absent. Employing a simple scheme to vary the decay rate $\kappa$ (or quality factor $Q$) of our cavities in-situ we explore in detail how multiple cavity modes contribute to qubit $T_1$ decay in the Purcell regime. In addition, we show the continued dependence of qubit $T_1$ on cavity $\kappa$ as we systematically decouple from our cavity and are no longer Purcell-limited and how this dependence is related to a steady rise in qubit excited state population. Our findings are consistent with theory we have developed based on an effective circuit model for the cavity-qubit system, and set the stage for continuing the study of the multi-mode Purcell Effect by means of in-situ tuning of not just the cavity coupling, but the qubit frequency itself. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C25.00011: Entanglement of two superconducting qubits in a three-dimensional architecture via monochromatic two-photon excitation Stefano Poletto, Jay M. Gambetta, Seth T. Merkel, John A. Smolin, Jerry M. Chow, A.D. Corcoles, D.W. Abraham, Chad Rigetti, Matthias Steffen The superconducting qubit approach for the realization of a quantum processor is a promising candidate because of its compatibility with silicon microfabrication techniques. The coherence times of superconducting devices have continuously improved in the previous decade, with the most noticeably enhancement recently obtained by placing the qubit inside a three-dimensional waveguide cavity. I will present a novel implementation of a two-qubit three dimensional architecture using superconducting qubits, and I will describe a new gate for the direct generation of maximally entangled Bell states. The gate employs the forbidden two-photon 00 - 11 transition, made bright by the interaction between non computational energy levels. A microwave drive tuned to this transition induces Rabi-like oscillations between the ground and doubly excited state via the Bell basis, allowing the generation of entangled states. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C25.00012: Measurement of a three-dimensional circuit QED system with a down-converting parametric amplifier Chad Rigetti, Doug McClure, Lafe Spietz, Jay Gambetta, Stefano Poletto, Erik Lucero, Antonio Corcoles, Jerry Chow, Jim Rozen, Matthias Steffen, Mark Ketchen, Katrina Sliwa, Flavius Shackert, Michael Hatridge, Baleegh Abdo, Michel Devoret We describe measurements of a superconducting transmon qubit in a waveguide cavity with a Josephson Parametric Converter (JPC) operated as a down-converter with gain. The JPC signal mode is matched to the waveguide cavity at approximately 11.2GHz while the amplified signal, taken from the idler port, is roughly an octave lower at 5.5GHz. Operating the system in this down-conversion-with-gain mode makes use of the JPC's capability to act as both a parametric amplifier and a noiseless frequency converter. Further, it decouples the qubit measurement frequency from the functional frequencies of all components following the JPC in the measurement chain. This work thus provides a framework for a turnkey near-quantum-limited measurement chain which can be standardized and optimized over a narrow band without placing constraints on the qubit/cavity system. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C25.00013: Ultra-broadband microwave travelling-wave parametric amplifier for qubit readout Chris Macklin, D.H. Slichter, O. Yaakobi, L. Friedland, V. Bolkhovsky, D.A. Braje, G. Fitch, W.D. Oliver, I. Sidiqi Superconducting parametric amplifiers (paramps) have been used to demonstrate qubit readout approaching the quantum limit in the gigahertz regime. A common limitation of these amplifiers has been relatively small bandwidth due to the use of a resonant nonlinearity. We present measurements of a novel type of paramp based on a superconducting non-linear transmission line. Due to the absence of a resonant structure, these devices achieve useful gain with instantaneous bandwidth approaching 4 GHz. We present detailed measurements of amplifier performance metrics and scattering parameters. Additionally, we have coupled this amplifier to a 3D transmon qubit both directly and via an isolator for comparison. We discuss qubit coherence times and readout performance. This type of amplifier is a strong candidate for an ultra-low-noise following amplifier in frequency-multiplexed qubit readout schemes. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C25.00014: High fidelity all-microwave controlled-phase gate for superconducting qubits by cavity vacuum displacement Hanhee Paik, D. Zhou, M.D. Reed, G. Kirchmair, L. Frunzio, S.M. Girvin, R.J. Schoelkopf We demonstrate a new all-microwave controlled phase entangling gate for the superconducting qubits in the three-dimensional circuit QED (3D cQED) architecture. The gate exploits the strong coupling between qubits and a cavity, wherein the cavity frequency dispersively shifts depending on the qubit register state. We off-resonantly displace the cavity vacuum state; each computational state evolves a different phase due to the dispersive coupling, yielding a conditional phase. While designed to exploit the advantages of the 3D cQED architecture, the gate requires only dispersive coupling, making the gate applicable to a wide variety of superconducting qubit architectures. We demonstrate 98\% gate fidelity evaluated by quantum process tomography, and will discuss how appropriate choices of system parameters could increase this number and how we could minimize the gate infidelity due to measurement induced dephasing and non-adiabatic gate procedure. [Preview Abstract] |
Session C26: Semiconductor Qubits - Gates and Robust Control
Sponsoring Units: GQIChair: Hendrik Blhum, RWTH Aachen
Room: 328
Monday, March 18, 2013 2:30PM - 3:06PM |
C26.00001: Interplay of charge and spin coherence in Landau-Zener interferometry in double quantum dots Invited Speaker: Hugo Ribeiro Landau-Zener-St\"{u}ckelberg-Majorana (LZSM) physics has been exploited to coherently manipulate two-electron spin states in a GaAs double quantum dot (DQD) at a singlet (S)-triplet ($\textrm{T}_+$) anti-crossing. The anti-crossing results from the hyperfine interaction with the nuclear spins of the host material [1,2]. However, the fluctuations of the nuclear spin bath result in spin dephasing within $T_2^* \sim 10-20$ ns. As a consequence, the sweep through the anti-crossing would have to be performed on a timescale comparable to $T_2^*$ to achieve LZSM oscillations with 100\% visibility. Moreover, the S-$\textrm{T}_+$ anti-crossing is located near the $(1,1)-(2,0)$ interdot charge transition, where $(n_{l}, n_{\mathrm{r}})$ denotes the number of electrons in the left and right quantum dot. As a result the singlet state involved in the dynamics is a superpostion of $(1,1)$ and $(2, 0)$ singlet states. Here we show that it is possible to increase the oscillation visibility while keeping sweep times less than $T_2^*$ using a tailored pulse with a detuning dependent level velocity. The pulse includes a slow level velocity portion that is chosen to coincide with the passage through the S-$\textrm{T}_+$ anti-crossing and two fast level velocity portions. The latter minimize the time spent in regions where spin and charge degrees of freedom are entangled, which renders the qubit susceptible to charge noise. The slow level velocity portion of the pulse results in a stronger effective coupling between the spins states, which increases the oscillations visibility [3,4]. In particular, we were able to obtain a visibility of $\sim 0.5$ for LZSM oscillations. This constitutes an important step towards the implementation of a Hadamard gate.\\[4pt] [1] J. R. Petta, H. Lu, and A. C. Gossard, Science 327, \textbf{669} (2010).\\[0pt] [2] H. Ribeiro, J. R. Petta, and G. Burkard, Phys. Rev. B \textbf{82}, 115445 (2010).\\[0pt] [3] H. Ribeiro, G. Burkard, J. R. Petta, H. Lu, and A. C. Gossard, arXiv:1207.2972 (2012). \\[0pt] [4] H. Ribeiro, J. R. Petta, G. Burkard, arXiv:1210.1957 (2012). [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C26.00002: Decoherence-protected nuclear spin quantum register in diamond Viatcheslav Dobrovitski, Wan Jung Kuo, Ronald Hanson, Tim H. Taminiau We analyze the decoherence-protected operation of a quantum register based on the nuclear spins surrounding a nitrogen-vacancy (NV) center in diamond. Combination of the decoherence protection with the quantum gates is achieved by applying the decoupling pulses to the NV center's electronic spin in resonance with the motion of one of the nuclear spins [1,2]. In this way, many weakly coupled (tens of kHz) nuclei located far from the NV center can be combined in a quantum register. We study the limits, set by realistic experimental parameters, on the size of such a register and on the duration of the quantum gates needed for its operation. We also consider the ways of accelerating the quantum gate operation, and integration of the decoherence-protected gates with the decoupling of the nuclear spins themselves. We conclude that creation of such registers is feasible with current experimental capabilities. Work at the Ames Laboratory was supported by the Department of Energy - Basic Energy Sciences under Contract No. DE-AC02-07CH11358. [1] T. van der Sar et al., Nature 484, 82 (2012). [2] T. H. Taminiau et al., Phys. Rev. Lett. 109, 137602 (2012). [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C26.00003: Enhancement of Inter-qubit Coupling in Singlet-Triplet Qubits by Floating Metal Gate Shannon Harvey, Michael Shulman, Oliver Dial, Hendrik Bluhm, Vladimir Umansky, Amir Yacoby Spin qubits in semiconductors are promising systems for quantum computing, because they have long coherence times and are potentially scalable. However, their weak interaction with the environment, which gives their long coherence times, also makes inter-qubit interactions weak. Numerous proposals use electrostatic coupling between qubits for entangling operations, but these interactions require the qubits to be near one another. These proposals also suggest that adding a metallic gate between two qubits could increase coupling and allow the qubits to be spatially separated. We present results on two singlet-triplet (S-T$_{0})$ qubits connected by a floating metallic gate. Previous work on two-qubit operations, which use a capacitive coupling, showed that the inter-qubit coupling is weak and requires the qubits to be in close proximity. We find that the inter-qubit coupling is increased with the inclusion of a floating metal gate, which improves entangling operation fidelities and allows for these qubits to be spatially separated. Together, these improvements open the door to a scalable architecture for quantum information processing for all semiconductor spin qubit platforms. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C26.00004: Probing quantum phase transitions on a spin chain with a double quantum dot Yun-Pil Shim, Sangchul Oh, Jianjia Fei, Xuedong Hu, Mark Friesen We propose a local, projective scheme for detecting quantum phase transitions (QPTs) in a quantum dot spin chain [1]. QPTs in qubit systems are known to produce singularities in the entanglement, which could in turn be used to probe the QPT. Current proposals to measure the entanglement are challenging however, because of their nonlocal nature. We present numerical and analytical evidence that entanglement in a double quantum dot (DQD) coupled locally to a spin chain exhibits singularities at the critical points of the spin chain, and that these singularities are reflected in the singlet probabilities of the DQD. This result suggests that a DQD can be used as an efficient probe of QPTs through projective singlet measurements. We propose a simple experiment to test this concept in a linear triple quantum dot. [1]Y.-P. Shim {\it et al.}, arXiv:1209.5445 [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C26.00005: Coherent electron transfer between distant quantum dots in a linear array Floris Braakman, Pierre Barthelemy, Lieven Vandersypen Tunnel coupled quantum dots form the basis for electronic charge and spin qubits in semiconductors. The tunnel coupling gives rise to quantum coherent phenomena such as exchange oscillations of neighboring spins. However, tunnel coupling strength between non-neighbouring sites is negligible and it is therefore desirable to develop a form of long range coupling. In a linear array of three quantum dots, we demonstrate an effective tunnel coupling between the outer dots through virtual occupation of discrete levels in the center dot. The coupling strength depends strongly on the detuning between center and outer dot levels. The observation of Landau-Zener-Stueckelberg oscillations demonstrates the coherent nature of the coupling. In principle the effective long-range tunnel coupling should also allow coherent exchange of remote spins. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C26.00006: Dynamically Corrected Pulse Sequences for the Exchange Only Qubit Garrett Hickman, Jason Kestner In the exchange-only qubit, hyperfine interactions of qubit electrons with neighboring atoms introduce decoherence into the basis states and mix them with a third leaked state. We theoretically derive a scheme for performing arbitrary single-qubit rotations on the exchange-only qubit while canceling all hyperfine-induced errors to first order. We compare numerically the performance of the resulting pulse sequences with that of the simplest na\"ive implementations for a range of hyperfine interaction strengths. While for typical operations these sequences are roughly 50 times longer than a simple uncorrected pulse, error is significantly reduced. We show that for hyperfine field inhomogeneities less than one thirtieth of the maximum exchange strength, typical hyperfine-induced errors are reduced by at least an order of magnitude. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C26.00007: Composite pulses robust against charge noise and magnetic field noise for universal control of a singlet-triplet qubit Xin Wang, Edwin Barnes, Jason P. Kestner, Lev S. Bishop, Sankar Das Sarma We generalize our SUPCODE pulse sequences [1] for singlet-triplet qubits to correct errors from imperfect control. This yields gates that are simultaneously corrected for both charge noise and magnetic field gradient fluctuations, addressing the two dominant $T_2^*$ processes. By using this more efficient version of SUPCODE, we are able to introduce this capability while also substantially reducing the overall pulse time compared to the previous sequence. We show that our sequence remains realistic under experimental constraints such as finite bandwidth. [1] Wang et al., ``Composite pulses for robust universal control of singlet-triplet qubits'', Nat. Commun. 3, 997 (2012) [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C26.00008: Composite multi-qubit gates dynamically corrected against charge noise and magnetic field noise for singlet-triplet qubits Jason Kestner, Edwin Barnes, Xin Wang, Lev Bishop, Sankar Das Sarma We use previously described single-qubit SUPCODE pulses on both intra-qubit and inter-qubit exchange couplings, integrated with existing strategies such as BB1, to theoretically construct a CNOT gate that is robust against both charge noise and magnetic field gradient fluctuations. We show how this allows scalable, high-fidelity implementation of arbitrary multi-qubit operations using singlet-triplet spin qubits in the presence of experimentally realistic noise. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C26.00009: Dynamically corrected gates for singlet-triplet spin qubits with control-dependent errors N. Tobias Jacobson, Wayne M. Witzel, Erik Nielsen, Malcolm S. Carroll Magnetic field inhomogeneity due to random polarization of quasi-static local magnetic impurities is a major source of environmentally induced error for singlet-triplet double quantum dot (DQD) spin qubits. Moreover, for singlet-triplet qubits this error may depend on the applied controls. This effect is significant when a static magnetic field gradient is applied to enable full qubit control. Through a configuration interaction analysis, we observe that the dependence of the field inhomogeneity-induced error on the DQD bias voltage can vary systematically as a function of the controls for certain experimentally relevant operating regimes. To account for this effect, we have developed a straightforward prescription for adapting dynamically corrected gate sequences that assume control-independent errors into sequences that compensate for systematic control-dependent errors. We show that accounting for such errors may lead to a substantial increase in gate fidelities. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C26.00010: High fidelity gates in quantum dot spin qubits Mark Friesen, Teck Seng Koh, S. N. Coppersmith A variety of logical qubits and quantum gates have been proposed for quantum computer architectures using top-gated quantum dots. Despite their differences, we show that many combinations of qubits and gates can be evaluated on an equal footing by optimizing the gating protocols for maximum fidelity. Here, we evaluate single-qubit gate operations for two types of logical-qubits: singlet-triplet qubits and quantum dot hybrid qubits. In both cases, transitions between the qubit states are controlled by the exchange interaction between the dots, which in turn depends on the tunnel coupling and the detuning. We compute the fidelities for three exchange gate protocols: a dc pulsed gate, an ac resonant gate, and stimulated Raman adiabatic passage (STIRAP). Remarkably, we find that the optimized fidelities for all three gates follow a simple scaling law; the maximum fidelity depends only on the range of parameters that can be achieved experimentally. We show that a singlet-triplet qubit can be pulse-gated with significantly higher fidelity than a hybrid qubit, and that the highest overall fidelity should be achieved in a hybrid qubit using a STIRAP gating protocol. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C26.00011: Theoretical hyperfine decay functions in triple quantum dots Thaddeus Ladd Coherent oscillations in multiple quantum dots decay due to hyperfine interactions with nuclear spins. The decay functions observed in several double-dot experiments [1] agree well with simple formulae derived using the group SU(2), which is defined by exchange and hyperfine interactions in the singlet-triplet system [2]. We show that in triple dots, this theory generalizes to SU(3), with convenient representation in the basis of states of the exchange-only qubit in a decoherence-free subsystem~[3]. Using some intuition from SU(3), we derive analytic formulae for the hyperfine decay functions expected in coherent oscillations in triple dots~[4]. \\{} \newcommand\mybibformat[5]{#1, \textit{#2}~\textbf{#3}, #4 (#5)} [1]~\mybibformat{B.~M.~Maune et al.}{Nature}{481}{344}{2012}; \mybibformat{E.~A.~Laird et al.}{Phys. Rev. B}{82}{075403}{2012} \\{} [2]~\mybibformat{W. A. Coish and D. Loss}{Phys. Rev.~B}{72}{125337}{2005} \\{} [3]~\mybibformat{D.~P.~DiVincenzo et al.}{Nature}{408}{339}{2000}; \mybibformat{B.~H.~Fong and S.~M.~Wandzura}{Quantum Inf. Comput.}{11}{1003}{2011} \\{} [4]~\mybibformat{T. D. Ladd}{Phys. Rev. B}{86}{125408}{2012}. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C26.00012: High fidelity gates for exchange-only qubits in triple-quantum-dots Jianjia Fei, Jo-Tzu Hung, Teck Seng Koh, Yun-Pil Shim, Sangchul Oh, Susan Coppersmith, Xuedong Hu, Mark Friesen One of the main attractions of implementing exchange-only qubits in quantum dots is their ease of control. Gate operations are performed by changing the voltages on the top-gates, to vary the tunnel coupling and/or the detuning between the dots. One of the main challenges is that when exchange interactions are turned on, charge noise will cause dephasing. Here, we explore optimal strategies for implementing logical qubit rotations in exchange-only qubits. We take into account charge noise, and challenges due to hyperfine interactions, including leakage outside the logical qubit space, and dephasing caused by fluctuations of the local nuclear fields. Our method is based on optimizing the experimentally tunable parameters to maximize the fidelity of the gate operation. /newline /newline The views and conclusions contained in this document are those of the authors and should not be interpreted as representing the official policies, either expressly or implied, of the U.S. Government. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C26.00013: Constructing Two-Qubit Gates for Exchange-Based Quantum Computing Daniel Zeuch, Robert Cipri, N.E. Bonesteel Exchange pulses are local unitary operations obtained by turning on and off the isotropic exchange interaction between pairs of spin-1/2 particles, for example electron spins in quantum dots. We present a procedure for analytically constructing sequences of exchange pulses for carrying out leakage free two-qubit gates on logical three-spin qubits. At each stage of our construction we reduce the problem to that of finding a sequence of rotations for an effective two-level system. The resulting pulse sequences are 39 pulses long, longer than the original 19-pulse sequence of DiVincenzo et al. [1] and the more recent 18-pulse sequence of Fong and Wandzura [2], both of which were obtained numerically. Like the latter sequence, our sequences work regardless of the total spin of the six spins used to encode two qubits. After introducing our method, we prove that any leakage-free sequence of exchange pulses must act on at least five of the six spins to produce an entangling two-qubit gate.\\[4pt] [1] D.P. DiVincenzo et al., Nature \textbf{408}, 339 (2000). \newline [2] B.H. Fong \& S.M. Wandzura, Quantum Info. Comput., \textbf{11}, 1003 (2011). [Preview Abstract] |
Session C27: Quantum Computing, Quantum Algorithms, and Quantum Simulation
Sponsoring Units: GQIChair: Alan Aspuru-Guzik, Harvard University
Room: 329
Monday, March 18, 2013 2:30PM - 2:42PM |
C27.00001: Quantum Computing through Quantum Networks Cheng Wu Entanglement of two Aharonov-Bohm (AB) rings, or two artificial atoms, is similar to the entanglement of spins from two electrons. The directions of the angular momentum of two AB rings serve as the inputs for a basic two-bit computing in the quantum network. The question is whether the read-out is to be performed under a short and weak external perturbation? We found that a stronger entanglement than the situation needed for a quantum superposition combines with a strong external terminal connections is the only solution for robust classical readouts. A ``half-adder'' example will be presented. There has to be an inter-relation between internal and external coupling strengths. They are so adjusted for each other so that read-outs are possible. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C27.00002: Analytically solvable driven time-dependent two-level quantum systems Edwin Barnes, Sankar Das Sarma Analytical solutions to the time-dependent Schrodinger equation describing a driven two-level system are invaluable to many areas of physics, but they are also extremely rare. Here, we present a simple algorithm based on a type of partial reverse-engineering that generates an unlimited number of exact analytical solutions for a general time-dependent Hamiltonian. We demonstrate this method by presenting several new exact solutions that are particularly relevant to qubit control in quantum computing applications. We further show that our formalism easily generates analytical control protocols for performing sweeps across energy level anti-crossings that execute perfect Landau-Zener interferometry and rapid adiabatic passage near the quantum speed limit. [1] Phys. Rev. Lett. 109, 060401 (2012) [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C27.00003: Compilted Quantum Factoring Circuits Omar Gamel, Daniel James Shor's factoring algorithm is held as one of the most promising and useful applications of quantum computing. It allows one to factor large numbers in polynomial time, undermining the most common cryptographic schemes in use today, such as RSA cryptography. The well known algorithm is based on the quantum fourier transform to find the period of a function, and also makes heavy use of the modular exponentiation operation, given by, \begin{equation} U:a0 \rightarrow ax^a(mod N), \end{equation} where $N$ is the number to be factored, and $x$ is a random positive integer coprime with $N$. The modular exponentiation is the bottleneck of the algorithm, the portion that uses the most time. The generic algorithm can factorize any $N$ in time order $(\log{N})^3$, assuming sufficient memory space for intermediate calculations. Reducing the memory available (as long as it still lies above a certain threshold) increases the time taken by multiplicative factors, keeping its order the same in $\log(N)$. However, for a given $N$, or class of $N$'s to factorize, the generic algorithm may be suboptimal, and can be optimized to result in substantial savings in both memory needed and operation time. The different suboperations involved in modular exponentiat [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C27.00004: Quantum Algorithm for Solving an NP-Complete Problem Hefeng Wang, Fuli Li When a probe qubit is coupled to a quantum register that represents a physical system, the probe qubit will exhibit a dynamical response only when it is resonant with a transition in the system. Using this principle, we propose a quantum algorithm for solving a specific NP-complete problem, the 3-bit Exact Cover problem, EC3. We show that on a quantum computer, the number of qubits increases linearly with the size of the EC3 problem, while the efficiency of the algorithm is independent of the size of the problem. Our results indicate that quantum computers may be able to outperform classical computers in solving NP-complete problems. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C27.00005: Quantum Steering as a Quantum Game Sai Vinjanampathy, Jing-Ling Chen, Mile Gu, L.C. Kwek "Steerable states" are a subset of entangled states, that contain in them the set of Bell non-local states. A bipartite state shared by Alice and Bob is called steerable if by performing measurements, the ensemble that Alice can produce on Bob's side is unexplained by any local hidden variable theory. We will provide an operational interpretation of quantum steering by proposing a quantum game. The probability that the players win this game will be related to quantum steering. Furthermore, we will show how the various hierarchies between entanglement, steering and Bell non-locality are preserved by this quantum game. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C27.00006: Quantum Data Fitting Nathan Wiebe We provide a new quantum algorithm that efficiently determines the quality of a least-squares fit over an exponentially large data set by building upon an algorithm for solving systems of linear equations efficiently (Harrow et al., Phys. Rev. Lett. 103, 150502 (2009)). In many cases, our algorithm can also efficiently find a concise function that approximates the data to be fitted and bound the approximation error. In cases where the input data is a pure quantum state, the algorithm can be used to provide an efficient parametric estimation of the quantum state and therefore can be applied as an alternative to full quantum state tomography given a fault tolerant quantum computer. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C27.00007: Virtual Parallel Computing and a Search Algorithm Using Matrix Product States Eduardo Mucciolo, Claudio Chamon We propose a form of parallel computing on classical computers that is based on matrix product states. The virtual parallelization is accomplished by representing bits with matrices and by evolving these matrices from an initial product state that encodes multiple inputs. Matrix evolution follows from the sequential application of gates, as in a logical circuit. The action by classical probabilistic one-bit and deterministic two-bit gates such as NAND are implemented in terms of matrix operations and, as opposed to quantum computing, it is possible to copy bits. We present a way to explore this method of computation to solve search problems and count the number of solutions. We argue that if the classical computational cost of testing solutions (witnesses) requires less than $O(n^2)$ local two-bit gates acting on $n$ bits, the search problem can be fully solved in subexponential time. Therefore, for this restricted type of search problem, the virtual parallelization scheme is faster than Grover's quantum algorithm. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C27.00008: Google in a Quantum Network Giuseppe Davide Paparo In [1] we introduce the characterization of a class of quantum PageRank algorithms in a scenario in which some kind of quantum network is realizable out of the current classical internet web, but no quantum computer is yet available. This class of algorithms represents a quantization of the PageRank protocol currently employed to list web pages according to their importance. The PageRank algorithm's ranking ability has been instrumental to give structure to the web. This class of algorithms may be able to rank nodes in a quantum network. Furthermore, in this class, we have found an instance of this class of quantum protocols that outperforms its classical counterpart and may break the classical hierarchy of web pages depending on the topology of the web. \\[4pt] [1] G.D. Paparo and M. A. Martin-Delgado; ``Google in a Quantum Network''; Sci.Rep. {\bf 2} , 444 (2012), arXiv:1112.2079. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C27.00009: Discrete-time quantum walk with history dependence Zlatko Dimcovic, Yevgeniy Kovchegov We study a discrete time quantum walk (DTQW) with explicit correlation (or, memory/history dependence) over previous steps, implemented by a unique evolution operator. Monitoring the paths affects their interferences and we expect appearance of anomalies and classical features, while the process stays unitary. For 2-step-memory we obtain a closed-form generating function, with amplitude asymptotic. The trademark ballistic peaks of DTQW remain but a sharp central peak over a few sites appears. For deeper correlations we have so far obtained a full numerical solution for up to 20 memory-steps, evolved over $10,000$'s of time-steps. As memory increases, the amplitude first develops noisy peaks in the middle, and by around 10 step-deep memory the dominant central peak settles, while the runaway peaks typical of DTQW are all but gone. This central distribution is unlike the Gaussian curve of classical walks, the spreading is still ballistic (albeit slow), the shape stabilizes, and we observe universality. These (and some other) properties appear stable. This behavior starkly differs from previous known results. We use a multidimensional coin, but the precise operator form, explicitly encoding memory dependence in the evolution, comes from our (coinless) interchange framework. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C27.00010: Renormalization Group for Quantum Walks Stefan Falkner, Stefan Boettcher, Renato Portugal A renormalization group (RG) treatment of quantum walks holds significant promise for insights into quantum transport phenomena and search algorithms for quantum computing. The generality of this approach has a good chance to elucidate salient characteristics of quantum walks on higher-dimensional lattices which at this point are unobtainable with other methods and are even difficult to study numerically. Key questions concern the scaling properties of (unitary) quantum evolution depending on the lattice type. Is there a single exponent describing the mean-square displacement of quantum walks, similar to the scenario observed in ordinary random walks, or is there a spectrum of modes, each with their own exponent? Does quantum interference ensure that these exponents are always smaller than for the respective classical random walks? To what extend do translational invariance and other lattice properties matter? Generally, what is the nature of universality in quantum walks? Our preliminary results on effectively one-dimensional lattices demonstrates how RG can be used to study quantum random walks and their asymptotic behavior. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C27.00011: Using the graph isomorphism problem to probe differences between discrete- and continuous-time quantum random walks Kenneth Rudinger, John King Gamble, Eric Bach, Mark Friesen, Robert Joynt, S. N. Coppersmith Though continuous-time and discrete-time quantum walks appear superficially similar, recent studies have demonstrated potential differences in terms of algorithmic power. We investigate these disparities in the context of the graph isomorphism problem. It has been previously demonstrated that discrete-time walks of two non-interacting particles can distinguish certain difficult-to-distinguish graphs, while it has been proven that continuous-time walks of two non-interacting particles can never distinguish these graphs. We show the origins of this difference in distinguishing power, and find that, even for identical walks, subtle differences in the certificate construction algorithm can non-trivially impact the walk's distinguishing power. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C27.00012: Experimental 1D quantum simulation using an oxide nanoelectronics platform Megan Kirkendall, Dongyue Yang, Patrick Irvin, Jeremy Levy, Sangwoo Ryu, Chang-Beom Eom We are interested in developing a solid state quantum simulation platform which could be used to study important Hamiltonians like the Hubbard model and investigate phenomena such as high temperature superconductivity. Using the nanoscale control that has been demonstrated in modifying the 2DEG at the LaAlO$_3$/SrTiO$_3$ interface\footnote{Cen, C. \textit{et al}. \textit{Nature Mater}. \textbf{7}, 298--302 (2008).}, we are attempting to create an artificial system with which to study these phenomena that is decoupled from the underlying lattice. We use conductive AFM lithography to create one-dimensional structures at the LaAlO$_3$/SrTiO$_3$ interface with the goal of determining the relationship between external parameters that can be controlled in the LaAlO$_3$/SrTiO$_3$ system (i.e., $V(x, y)$, back gates, and side gates) and parameters in a Hubbard model description of the physical system. These tools could be used to create a solid state quantum simulation platform providing Hamiltonian level control over artificially created systems. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C27.00013: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 5:06PM - 5:18PM |
C27.00014: Experimental Boson Sampling Andrew White, Matthew Broome, Alessandro Fedrizzi, Saleh Rahimi-Keshari, Timothy Ralph, Justin Dove, Scott Aaronson Quantum computers are unnecessary for exponentially-efficient computation or simulation if the Extended Church-Turing thesis---a foundational tenet of computer science---is correct. The thesis would be directly contradicted by a physical device that efficiently performs a task believed to be intractable for classical computers. Such a task is \textsc{BosonSampling}: obtaining a distribution of $n$ bosons scattered by some linear-optical unitary process. Here we test the central premise of \textsc{BosonSampling}, experimentally verifying that the amplitudes of 3-photon scattering processes are given by the permanents of submatrices generated from a unitary describing a 6-mode integrated optical circuit. We find the protocol to be robust, working even with the unavoidable effects of photon loss, non-ideal sources, and imperfect detection. Strong evidence against the Extended-Church-Turing thesis will come from scaling to large numbers of photons, which is a much simpler task than building a universal quantum computer. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C27.00015: Opening up the Quantum Three-Box Problem with Undetectable Measurements Richard George, Lucio Robledo, Owen Maroney, Machiel Blok, Hannes Bernien, Daniel Twitchen, Matthew Markham, John Morton, Andrew Briggs, Ronald Hanson One of the most striking features of quantum mechanics is the profound effect exerted by measurements alone. Sophisticated quantum control is now available in several experimental systems, exposing discrepancies between quantum and classical mechanics whenever measurement induces disturbance of the interrogated system. In practice, such discrepancies may frequently be explained as the back-action required by quantum mechanics adding quantum noise to a classical signal. Here we implement the `three-box' quantum game (Aharonov, et al. 1991) by utilising state-of-the-art control and measurement of the nitrogen vacancy centre in diamond. In this protocol, the back-action of quantum measurements add no detectable disturbance to the classical description of the game. Quantum and classical mechanics then make contradictory predictions for the same experimental procedure, however classical observers are unable to invoke measurement-induced disturbance to explain the discrepancy. We quantify the disturbance of our measurements and obtain data ruling out any classical model by 7.8 sigma, excluding state-definiteness from our system. Our experiment is then equivalent to a Kochen-Spekker test of quantum non-contextuality that successfully addresses the measurement detectability loophole. [Preview Abstract] |
Session C28: Equilibrium Statistical Mechanics, Followed by GSNP Student Speaker Award
Sponsoring Units: GSNPChair: Robin Selinger, Kent State University
Room: 336
Monday, March 18, 2013 2:30PM - 2:42PM |
C28.00001: Approximate Solutions in Planted 3-SAT Benjamin Hsu, Christopher Laumann, Roderich Moessner, Shivaji Sondhi In many computational settings, there exists many instances where finding a solution requires a computing time that grows exponentially in the number of variables. Concrete examples occur in combinatorial optimization problems and cryptography in computer science or glassy systems in physics. However, while exact solutions are often known to require exponential time, a related and important question is the running time required to find approximate solutions. Treating this problem as a problem in statistical physics at finite temperature, we examine the computational running time in finding approximate solutions in 3-satisfiability for randomly generated 3-SAT instances which are guaranteed to have a solution . Analytic predictions are corroborated by numerical evidence using stochastic local search algorithms. A first order transition is found in the running time of these algorithms. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C28.00002: Wang-Landau or Statistical Mechanics Gregory Brown, Donald M. Nicholson, Markus Eisenbach, Kh. Odbadrakh The Wang-Landau algorithm and its variations provide a method for estimating a self-consistent density of states -- or equivalently the entropy -- of a system with many degrees of freedom. Possible benefits from generating a self-consistent estimate of the entropy and its derivative are presented for models with both discrete and continuous values of the energy. In addition, the advantage of computing thermodynamic quantities as derivatives of the estimated entropy over summation over the density of states is shown. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C28.00003: Coarse-graining renormalization by higher-order singular value decomposition Zhiyuan Xie, Jing Chen, Mingpu Qin, Jinwei Zhu, Liping Yang, Tao Xiang We propose a novel coarse graining tensor renormalization group method based on the higher-order singular value decomposition. This method provides an accurate but low computational cost technique for studying both classical and quantum lattice models in two- or three-dimensions. We have demonstrated this method using the Ising model on the square and cubic lattices. By keeping up to 16 bond basis states, we obtain by far the most accurate numerical renormalization group results for the 3D Ising model. We have also applied the method to study the ground state as well as finite temperature properties for the two-dimensional quantum transverse Ising model and obtain the results which are consistent with published data. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C28.00004: Cluster scaling in the dilute Ising model Kang Liu, James Silva, William Klein, Harvey Gould We consider the cluster mapping method to map the critical point in a site-diluted Ising model onto a correlated site-bond percolation. First, we prove the Coniglio-Klein bond probability has the same form in the dilute Ising model with a proper chosen temperature. Then we study the cluster size distribution near the critical point in 2D dilute Ising model with long range interactions. The power law distribution of the clusters size at the critical point has the same exponent as the mean field Ising critical point, which is consistent with the Harris criterion for the long range Ising model. In addition, we apply this percolation mapping method to identify the nucleating droplet near the spinodal and it shows that the largest cluster size could be used to find the time when nucleating droplet occurs. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C28.00005: Properties of the Ising Model Density of States Robert Hosken The Ising model Density of States (DOS) is a histogram of all the Ising model microstates binned into macrostates with the same values of the energy variables, magnetism and interaction energy. When the DOS three-dimensional surface is known analytically it can be multiplied by the Boltzmann function and summed over all possible values of the energy variables to obtain the statistical mechanics partition function, Z, where Z is a function of the temperature, the single bond energy strength, and the external magnetic field. This summation becomes an integration in the thermodynamic limit, and the result is exact in the high temperature regime. Analytical expressions for the descriptive statistics of the energy variables are presented for nearest neighbor spin interactions in a linear chain, a square lattice, and a simple cubic lattice, all for the case of periodic boundary conditions. The properties considered are the moments of the variables to the fourth order: mean, variance, correlation, skewness, and kurtosis. The DOS surface has a single peak with a known location and height, and the base of the surface lies within an isosceles triangle. Examination of this triangle shows the feasible ferromagnetic and antiferromagnetic regions, and the location of the ground states. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C28.00006: Link duality: an extension of Kramers-Wannier duality Joe Mitchell, Victor Galitski Lattice duality, in the manner the famous Kramers-Wannier duality of 1941, has been thoroughly investigated. However, even now there are very simple unexplored extensions to be uncovered and utilized. We present one such by including site energies in the model Hamiltonian and examining the dual model that results. This grants a dual model with dual variables where the original model had interactions and vice versa. We can apply this extension to the Ising model and the XY model, among others, and it is doubtful that it would not be as applicable to many classical models with traditional dualities. The dual models tend to be less dependent on the lattice and interaction of the original models. Finally, we discuss the possible applicability of these extended dualities to a Kramers-Wannier like duality for fermions. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C28.00007: Magnetization plateaus in an antiferromagnetic Ising chain with single-ion anisotropy and quenched disorder Nilton Branco, Minos Neto, Jose Ricardo de Sousa, Pedro Piccini We have studied the presence of plateaus on the low-temperature magnetization of an antiferromagnetic spin-1 chain, as an external uniform magnetic field is varied. A crystal-field interaction is present in the model and the exchange constants follow a random quenched (binomial or Gaussian) distribution. Using a transfer-matrix technique we calculate the largest Lyapunov exponent and, from it, the magnetization at low temperatures as a function of the magnetic field, for different values of the crystal-field and of the width of the distributions. For the binomial distribution, the number of plateaus increases, with respect to the uniform case (F. Litaiff, J. R. de Sousa, and N. S. Branco, Sol. St. Comm. {\bf 147}, 494 (2008)) and their presence can be linked to different ground states, when the magnetic field is varied. For the Gaussian distributions, the uniform scenario is maintained, for small widths, but the plateaus structure disappears, as the width increases. We present also preliminary results for the behavior of the plateaus when aperiodic modulations are introduced. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C28.00008: A generalization of equipartion and virial theorems: maximum entropy derivation Gonzalo Gutierrez, Sergio Davis It is shown that, for a continuous maximum-entropy distribution obtained from an arbitrary number of simultaneous constraints, an estimator for a given conjugate variable can be constructed. Thus, we have derived a general theorem connecting the values of Lagrange multipliers in Maximunm Entropy (MaxEnt) inference to expectation values related to an arbitrary trial function. These estimators provide another tool to widen the applicability of Jaynes' formalism (E. T. Jaynes, Phys. Rev. 106, 620 (1957)), as well as insight into the interpretation of the hypervirial relations known in Statistical Mechanics for the canonical ensemble and Rugh's dynamical temperature for the microcanonical ensemble (H. H. Rugh, Phys. Rev. Lett. 78, 772 (1997); G. Rickayzen and J. G. Powles, J. Chem. Phys. 114, 4333 (2001)). Some examples to show the applicability of these new relations within and beyond standard Statistical Mechanics will be presented. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C28.00009: Random perfect lattices and the sphere packing problem Alexei Andreanov, Antonello Scardicchio We study random sets of perfect lattices in dimensions up to $d=19$. Perfect lattices are relevant for solution of lattice sphere packing problem. In fact the best lattice packing is a perfect lattice and perfect and eutactic lattices are local maxima of the packing fraction. We use a stochastic generating algorithm for perfect lattices and define a random ensemble with an effective temperature (reminiscent of a Monte Carlo simulation) to study typical properties of perfect lattices and show how as the temperature is decreased the best known packers are easily recovered. We find that the typical perfect lattices are denser than known families and propose two hypotheses for typical packing density between which we cannot distinguish: $\phi\sim 2^{-(0.84\pm 0.06) d}$ (improvement of the Minkowksi bound), and a competitor $\phi\sim d^{-a d}$ with a very small coefficient $a=0.06\pm0.04$. We also find properties of the random walk which are suggestive of a glassy system already for moderately small dimensions. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C28.00010: Statistical Mechanics and Shape Transitions in Microscopic Plates Ee Hou Yong, L. Mahadevan We investigate the statistical mechanics of elliptical plates of parabolic thickness with free boundary condition using both analytical techniques and Monte Carlo simulation. We consider the energy landscape of this system and show that plates with spontaneous Gaussian curvature exhibit two minima while plates with zero Gaussian curvature only exhibit one stable conformation. For plate that exhibits bistability, it can undergo shape transitions between the two conformation minima if the white noise is large enough. Plates with negative spontaneous Gaussian curvature are found to be more susceptible to shape changes than its positive counterparts. Our results are applicable to many disk-like objects in the microscopic world where fluctuation effects are important. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C28.00011: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 4:42PM - 4:54PM |
C28.00012: Forecasting large earthquakes using small-quake correlations Braden Brinkman, Michael LeBlanc, Yehuda Ben-Zion, J.T. Uhl, Karin Dahmen It has long been speculated that periodic stress variations, such as the tides, may trigger earthquakes, and hence tide-earthquake correlations could be used as signals for predicting large earthquakes prior to failure. We developed a simple probabilistic model of earthquake triggering which we used to simulate series of earthquake events in a fault subjected to external periodic stresses of amplitudes and frequencies representative of tidal or seasonal stress variations. By analyzing correlations between small events and periodic stress cycles, we compute the probability that a large event will occur. We find that seasonal stresses are better predictors of impending large earthquakes. In addition, our results also apply to many other sheared frictional stick-slip systems which display small slips, such as rock interfaces or granular matter. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C28.00013: Graphicality of random scale-free networks with general degree cutoffs Yongjoo Baek, Daniel Kim, Meesoon Ha, Hawoong Jeong We study graphicality of random scale-free networks with arbitrary degree cutoffs in the thermodynamic limit, which refers to realizability of degree sequences randomly generated with the degree exponent $\gamma$ and the upper degree cutoff $k_c$ as the number of nodes $N$ goes to infinity. While a recent study\footnote{C. I. Del Genio, T. Gross, and K. E. Bassler, Phys. Rev. Lett. {\bf 107}, 178701 (2011).} found that only degree sequences with $\gamma > 2$ or $\gamma < 0$ are graphical if $k_c = N-1$ using the graphicality criterion proved by Erd\"os and Gallai,\footnote{P. Erd\"os and T. Gallai, Matematikai lapok {\bf 11}, 264 (1960).} we generalize the study to different upper cutoffs. To ensure graphicality of degree sequences, it is found that the upper cutoff must be lower than $k_c \sim N^{1/\gamma}$ for $\gamma < 2$, whereas any upper cutoff is allowed for $\gamma > 2$. This is also numerically verified, using both random and deterministic sampling of degree sequences. Our result can be interpreted as giving a fundamental constraint on the structure of random scale-free networks. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C28.00014: Slower recovery in space before collapse of connected populations Lei Dai, Kirill Korolev, Jeff Gore Slower recovery from perturbations near a tipping point and its indirect signatures in fluctuation patterns have been suggested to alert catastrophes in a wide variety of systems. Recent studies of populations in the field and in the laboratory have used time-series data to confirm some of the theoretically predicted early warning indicators, such as an increase in recovery time or in the size and timescale of fluctuations. However, the performance of warning signals in spatially extended systems remains to be examined empirically. Here we use spatially extended yeast populations, an experimental system displaying a fold bifurcation, to evaluate early warning signals based on spatio-temporal fluctuations and to identify a novel warning indicator in space. We found that two leading indicators based on fluctuations increased before collapse of connected populations; however, the magnitude of increase was smaller than that observed in isolated populations, possibly because local variation is reduced by dispersal. Furthermore, we propose a generic indicator based on deterministic spatial patterns, ``recovery length.'' As the spatial counterpart of recovery time, recovery length is defined as the distance for connected populations to recover from perturbations in space (e.g. a region of poor quality). In our experiments, recovery length increased substantially before population collapse, suggesting that the spatial scale of recovery can provide a superior warning signal before tipping points in spatially extended systems. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C28.00015: An exactly solvable model of Maxwell's demon Dibyendu Mandal, Christopher Jarzynski The paradox of Maxwell's demon has stimulated numerous thought experiments, leading to discussions about the thermodynamic implications of information processing. However, the field has lacked a tangible example or model of an autonomous, mechanical system that reproduces the actions of the demon. To address this issue, we introduce an explicit model of a device that can deliver work to lift a mass against gravity by rectifying thermal fluctuations, while writing information to a memory register. We solve for the steady-state behavior of the model and construct its nonequilibrium phase diagram. In addition to the engine-like action described above, we identify a ``Landauer eraser'' region in the phase diagram where the model uses externally supplied work to remove information from the memory register. Our model offers a simple paradigm for investigating the thermodynamics of information processing by exposing a transparent mechanism of operation. [Preview Abstract] |
Session C29: Flow in Confinement and Porous Media
Sponsoring Units: DPOLYChair: Howard Stone, Princeton University
Room: 337
Monday, March 18, 2013 2:30PM - 2:42PM |
C29.00001: Colloidal jamming in nano-confinements observed with SESANS Rana Ashkar, Roger Pynn The behavior of matter in nano-confinements is being investigated as a means for obtaining controlled highly-ordered nanomaterials. To understand this behavior a 3D structural characterization of the confined matter is necessary. Non-destructive probing of such samples challenges conventional microscopy techniques. On the other hand, the submicron size of a single confinement is impractical for neutron and x-ray scattering experiments but this dilemma can be overcome by using a confining matrix made up of an array of identical confinements, $e.g.$ the grooves of a diffraction grating. The caveat is that the periodicity of the sample amplifies dynamical scattering effects that are not accounted for in approximate scattering theories and a full dynamical theory (DT) calculation becomes unavoidable. Dynamical theory calculations, applied to neutron spin-echo small angle scattering (SESANS) measurements on nanostructured gratings, give good account of all the data sets we collected so far in reflection and transmission scattering geometries. Calculations on recent measurements performed on a silica suspension in contact with the grooves of a diffraction grating show colloidal jamming in the grooves. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C29.00002: Numerical Studies into Flow Profiles in Confined Lubricant Luca di Mare, Aleks Ponjavic, Janet Wong This paper documents a computational study of flow profiles in confined fluids. The study is motivated by experimental evidence for deviation from Couette flow found by one of the authors (JSW). The computational study examines several possible stress-strain relations. Since a linear profile is the only possible solution for a constant stress layer even in presence of a power law, the study introduces a functional dependence of the fluid viscosity on the distance from the wall. Based on this dependence, a family of scaling laws for the velocity profile near the wall is derived which matches the measured profiles. The existence of this scaling law requires the viscosity of the fluid to increase at least linearly away from the wall. This behaviour is explained at a microscopic level by considerations on the mobility of long molecules near a wall. This behaviour is reminiscent of the variation of eddy length scales in near-wall turbulence. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C29.00003: Through-Thickness Flow Profile Determination of Confined Lubricant Janet Wong, Aleks Ponjavic The knowledge of the through-thickness flow profile of lubricants confined between two rubbing surfaces is necessary for the friction prediction of lubricated engineering systems. While it is crucial to materials selection and engineering design, little work on the direct measurement of lubricant flow has been performed in elastohydrodynamic lubrication (EHL) regime as the nanoscopic film thickness bars the use of conventional techniques. Photobleached-fluorescence imaging was applied to obtain the first experimental flow profile of a $\sim $100 nm lubricant film within an EHL contact. Mapping of flow profiles was also carried out across the contact. The investigated lubricants show multiple flow phenomena. They do not follow the predicted Couette flow, often assumed in tribology theory. Two distinct flow conditions were observed: transition from Couette flow to a non-linear velocity profile; and shear banding, or dilation. Both conditions were shown to depend on position and normal stress experienced by the lubricant. Causes, such as pressure gradient and limiting shear stress, and the effect on traction, will be discussed. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C29.00004: The Electrophoretic Mobility of a Polyelectrolyte within a Radially Confining Potential Well Tyler Shendruk, Martin Bertrand, Gary W. Slater We demonstrate that a polyelectrolyte electrophoresing while radially confined by a mechanical force has a conformationally dependent electrophoretic mobility that differs from its free-draining value. The mobility increases as a function of the confining harmonic potential and in the absence of solid walls. Mesoscale MPCD-MD hybrid simulations that include electro-hydrodynamics through a mean-field Debye H\"{u}ckel approximation will be presented for a variety of well widths and contour lengths, demonstrating that mobility increases with confinement after a critical point but remains independent of polymerization. For this reason, models based on a change of monomer friction coefficient at the confinement boundary (such as those recently put forward to explain experimentally measured mobility polyelectrolytes confined within nano- and microfluidic channels) are not sufficient to explain our observations. Since the potential acts perpendicular to the electric field and only on the monomers, the Electro-Hydrodynamic Equivalence Principle does not predict the mobility to differ. We present a course-grained theory explaining these findings in terms of hydrodynamic coupling within overlapping diffuse layers. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C29.00005: Specific Heat Capacity of Physically Confined Ethylene glycol in Nano Pores Samuel Amanuel, Will Linthicum Sensible heat is a cheap and effective means of storing solar energy where energy storage density can be improved by enhancing the specific heat capacity of the heat transfer materials. Formulating composite materials of heat transfer fluids is a mechanism by which the bulk specific heat capacity can be altered and preferably increased. Traditionally, the specific heat capacity of composite material is evaluated from the weighed average of the individual specific heat capacities of the constituents. This, however, does not take into account the effect of interfacial atoms and molecules. The effect of interfacial atoms and molecules becomes increasingly significant when one of the constituents has dimensions in nano meters. In this study, we evaluate the role of interfacial molecules on the specific heat capacity of composite systems. In order to systematically control the interfacial molecules, we have measured the specific heat capacity of ethylene glycol when it is physically confined in nano pores. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C29.00006: Diffusion in a soft confining environment: Dynamic effects of thermal fluctuations Benoit Palmieri, Samuel Safran A dynamical model of a soft, thermally fluctuating two-dimensional tube is used to study the effect of thermal fluctuations of a confining environment on diffusive transport. The tube fluctuations in both space and time are driven by Brownian motion and suppressed by surface tension and the rigidity of the surrounding environment. The dynamical fluctuations modify the concentration profile boundary condition at the tube surface. They decrease the diffusive transport rate through the tube for two important cases: uniform tube fluctuations (wave vector, $q = 0$ mode) for finite tube lengths and fluctuations of any wave vector for infinitely long tubes. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C29.00007: Probing The Dynamics Of Flow Within A 3D Porous Medium, From The Pore Scale Up Sujit Datta, Harry Chiang, T.S. Ramakrishnan, David Weitz Flows through micro and nano scale pores are ubiquitous; they arise in everyday situations, such as in forcing fluid out of a wet sponge, to important technological applications, including oil recovery, groundwater remediation, geological CO$_{2}$ storage, and even nutrient transport through mammalian tissues. Such flows are typically modeled using a simple continuum approach, which neglects local, pore scale variations in the flow. Here, we present an experimental technique to directly visualize flow within a 3D porous medium over a broad range of length scales, from the scale of individual pores to that of the entire medium. We quantify the dynamics of the flow, both without and with residual trapping of an additional, immiscible fluid within the medium. The pore space is highly complex and interconnected; nevertheless, we find excellent agreement between our measurements and a dramatically simplified mean-field picture of flow. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C29.00008: Forced drainage and imbibition in microfluidic porous media Hokchhay Tann, Emilie Dressaire, Jinkee Lee, Howard Stone We present an experimental study on the dynamics of two-phase flow in microfabricated porous media. In particular we focus on pressure-driven imbibition and drainage in two-dimensional networks of microchannels. We vary the geometrical features of the network, viscosity of the non-wetting fluid and surface chemistry of the microchannels. The rate of displacement and entrapment of the liquid are studied. A simple model that accounts for capillary and viscous effects is compared with the experimental results. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C29.00009: Unusual Properties of Water Confined in Nanoporous Silica Glasses Camilla Kirkemo, Adarsh Shekhar, Anders Malthe-Sorenssen, Rajiv Kalia, Aiichiro Nakano, Priya Vashishta The structure and dynamics of water confined in nanoporous silica are different from that of bulk water, and insight into the properties of confined water is important for our understanding of many geological and biological processes. We use reactive molecular dynamics simulations to study the structure and dynamics of nanoconfined water between 100 and 300K. The simulations are based on experimentally validated force fields for silica and water. These force fields allow dissociation of water molecules. We prepare nanoporous silica systems with pore sizes in the range 1-6 nm, and study the behavior of water in the nanopores. We observe a mixture of high-density and low-density water in the pores, and hysteresis in the energetics of water upon cooling and heating. We will present results for the structure and diffusion of water near surfaces and in the interior regions of nanopores as a function of temperature. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C29.00010: Analysis of gas transport in polymer electrolyte fuel cells using porous structure constructed from X-ray nano CT Ikuya Kinefuchi, Junpei Oyama, Koji Yokoyama, Norio Kubo, Takashi Tokumasu, Yoichiro Matsumoto This paper describes the analysis of gas transport in micro porous layers of polymer electrolyte fuel cells based on the three-dimensional structure obtained from X-ray nano computed tomography (CT). The polygonal surface representation of the porous structure was constructed from the cross-sectional CT images using the marching tetrahedrons algorithm. The diffusion flux through the porous layer was evaluated by the direct simulation Monte Carlo method since the characteristic pore size is comparable to the mean free path of gas molecules. The numerical simulation well reproduces the experimentally observed pressure dependence of diffusion resistance originating from the transition between Knudsen and molecular diffusion regimes. The effect of porous media morphology on gas transport was examined by an analysis of the trajectories of transmitted molecules through the porous layer. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C29.00011: Microfluidics of ordered fluids Anupam Sengupta Flow of ordered fluids (e.g. liquid crystals) is inherently complex due to the coupling between the flow and the long-range orientational order. Experiments carried out with nematic liquid crystals at micro scales further reveal the influence of surface properties on the static and dynamic outcomes. Microfluidics provide a convenient platform to tune one or more of the above competing components, and explore the resulting equilibrium states. The delicate but intricate balance between the viscous, elastic and surface forces was consequently used to devise optofluidic and micro-scale-transport applications. On one hand the novel applications complement the conventional microfluidic capabilities, and on the other hand, broaden the reach of \textit{isotropic} microfluidics by offering competitive advantages. Standard microfluidic techniques and a combination of polarizing optical microscopy, fluorescence confocal polarizing microscopy and particle tracking methods were employed for the investigations. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C29.00012: The Casimir effect in microfluidics Alejandro Rodriguez-Wong, Alexander Woolf, Lulu Liu, David Woolf, Steven Johnson, Federico Capasso We describe predictions of unusual Casimir and light-induced interactions between bodies immersed in fluids, including tunable and highly temperature-dependent stable suspensions of compact microspheres. We exploit recently developed, sophisticated computational techniques for modeling Casimir interactions in arbitrary geometries to study fluid deformations in corrugated surfaces with features on the scale of the fluid-layer thickness, and quantify the contributions of non-additive electromagnetic effects in those geometries. Unlike previous calculations of wetting and dewetting effects based on the Lifshitz formula, our approach is fully general and allows studies of complex microfluidic environments with no uncontrolled approximations. Time permitting, we present preliminary experimental results. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C29.00013: Molecular dynamics simulation for vapor-liquid coexistence of water in nanocylinder Toshiki Mima, Ikuya Kinefuchi, Yuta Yoshimoto, Nobuya Miyoshi, Akinori Fukushima, Takashi Tokumasu, Shu Takagi, Yoichiro Matsumoto Molecular dynamics simulation was conducted in order to investigate the vapor-liquid coexistence of the water molecules in nanopore. In this research, the Lennard-Jones energy parameter between a water molecule and an atom of nanopore was optimized so as to model the contact angle between a water droplet and the carbon material in the fuel cell. The TIP4P/2005 as the model of a water molecule was used; this model produces well the vapor-liquid coexistence line. All of the systems were equilibrated by Nos\'e-Hoover thermostat. The electrostatic interaction between water molecules was calculated through smooth particle mesh Ewald method. First, we equilibrated a water plug in the single-wall atomistic nanocylinder as a model of nanopore in the fuel cell with radius 1.3nm. Water molecules burst from an interface of the water plug in equilibration. Then, the equilibrium densities both in dense and dilute region ware sampled over 1 ns. The vapor-liquid coexistence line, density profile, free energy profile will be presented in the session. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C29.00014: On-demand generation of aqueous two-phase microdroplets with reversible phase transitions Charles Collier Aqueous two-phase systems contained within microdroplets enable a bottom-up approach to mimicking the dynamic microcompartmentation of biomaterial that naturally occurs within the cytoplasm of cells. Here, we demonstrate the on-demand generation of femtolitre aqueous two-phase droplets within a microfluidic oil channel. Gated pressure pulses were used to generate individual, stationary two-phase microdroplets with a well-defined time zero for carrying out controlled and sequential phase transformations over time. Reversible phase transitions between single-phase, two-phase, and core-shell microbead states were obtained via evaporation-induced dehydration and on-demand water rehydration. In contrast to other microfluidic aqueous two-phase droplets, which require continuous flows and high-frequency droplet formation, our system enables the controlled isolation and reversible transformation of a single microdroplet and is expected to be useful for future studies in dynamic microcompartmentation and affinity partitioning. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C29.00015: H2O and CO2 confined in cement based materials: an ab initio molecular dynamics study with van der Waals interactions James Moraes de Almeida, Caetano Rodrigues Miranda, Adalberto Fazzio Although the cement has been widely used for a long time, very little is known regarding the atomistic mechanism behind its functionality. Particularly, the dynamics of molecular systems at confined nanoporous and water hydration is largely unknown. Here, we study the dynamical and structural properties of H$_2$O and CO$_2$ confined between Tobermorite 9\AA (T9) surfaces with Car-Parrinello molecular dynamics with and without van der Waals (vdW) interactions, at room temperature. For H$_2$O confined, we have observed a broadening in the intra and intermolecular bond angle distribution. A shift from an ice-like to a liquid-like infrared spectrum with the inclusion of vdW interactions was observed. The bond distance for the confined CO$_2$ was increased, followed with the appearance of shorter (larger) intramolecular (intermolecular) angles. These structural modifications result in variations on the CO$_2$ symmetric stretching Raman active vibration modes. The diffusion coefficient obtained for both confined H$_2$O and CO$_2$ were found to be lower than their bulk counterparts. Interestingly, during the water dynamics, a proton exchange between H$_2$O and the T9 surface was observed. However, for confined CO$_2$, no chemical reactions or bond breaking were observed. [Preview Abstract] |
Session C30: Polymers and Organic Systems
Sponsoring Units: DPOLYChair: John Cressman, George Mason University
Room: 338
Monday, March 18, 2013 2:30PM - 2:42PM |
C30.00001: Immobilization of polymer microgels containing metal nanocatalysts onto inorganic surfaces L. Papoutsakis, M.A. Frysali, M. Kaliva, M. Vamvakaki, S.H. Anastasiadis This study is concerned with the attachment of electrostatically and sterically stabilized polymer microgel particles containing either amino (poly(2-(diethylamino)ethyl methacrylate), PDEA) or carboxylic acid (poly(acrylic acid), PAA; poly(methacrylic acid), PMMA) functional groups onto inorganic surfaces. The microgels are prepared by emulsion radical polymerization and are utilized as nanoreactors for the synthesis of metal nanoparticles to be used as nanocatalysts; Pd and Ru nanoparticles have been synthesized. The attachment of the microgel particles onto the various surfaces, which can potentially be used as the walls of microfluidic reactors, is studied; glass, silicon and alumina were used as substrates. We investigated the effects of parameters such as concentration of the suspension, substrate orientation whereas we utilized various recipes for ``trapping'' the microgel particles within interfaces to achieve their deposition onto the inorganic surfaces. The durability of the microgel particles attached onto the surfaces against hydration and shear forces was tested utilizing repeated immersion of the surfaces into water undergoing mechanically-generated hydrodynamic flow. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C30.00002: Predicting Universal Pattern Formation on Spheres with Application to Self-Assembly of Patchy Colloids Erik Edlund, Oskar Lindgren, Martin Nilsson Jacobi Patchy colloids, colloidal particles with attractive or repulsive patches, serve as a central example of building blocks for self-assembly [1]. The patches can be created using e.g. glancing angle deposition, but recently interest has turned towards using self-assembly for creating the patterns themselves [2]. We present theory for predicting pattern formation of isotropically interacting particles on spheres, based on a relaxation of a Potts-like model who's interactions can be diagonalized (a generalization of the approach in [3]). We give a simplified model of immiscible surfactants on gold nanoparticles [4,5] which we use to demonstrate the theory. We use the theory to design patchy particles for self-assembly of specific geometric structures.\\[4pt] [1] E. Bianchi, R. Blaak, and C. N. Likos, Phys. Chem. Chem. Phys. 13 (2011)\\[0pt] [2] A. M. Jackson, J. W. Myerson, and F. Stellacci, Nat. Mater. 3 (2004)\\[0pt] [3] E. Edlund and M. Nilsson Jacobi, Phys. Rev. Lett. 105 (2010)\\[0pt] [4] C. Singh et al., Phys. Rev. Lett. 99 (2007)\\[0pt] [5] I. C. Pons-Siepermann and S. C. Glotzer, Soft Matter 8(23) (2012) [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C30.00003: Temperature dependent depletion interaction from PEO and other polymers Bezia Laderman, Lang Feng, Stefano Sacana, Paul Chaikin We have found and tested a depletion mechanism, in which the inter-colloidal attractive interaction can be tuned in an easily accessible temperature range. Usually depletion is considered as a concentration dependent, temperature independent interaction, except when a thermo-sensitive depletent, like Poly-NIPA is used. Our system consists of water, NaCl, micron-size colloids and a polymer depletent. With such solutions colloidal crystals form at room temperature, but as the temperature is increased above a critical point ($T_c$), we observe the crystals melt and the colloids disperse. The process is thermo-reversible since crystals reform in a few minutes after the temperature is tuned below $T_c$. We studied the dependence of the critical temperature $T_c$ on factors such as the ionic strength, component/surface chemistry of the particle, type of depletent and additional non-ionic surfactants. Since the gyration radius of the depletent used in this study does not vary significantly with temperature, we argue that a temperature dependent adsorption of polymer depletent on the colloidal surface is responsible for the observed phase transition. Given the generality of the components used, our finding is useful for directed or self-assembly on the colloidal scale. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C30.00004: Modeling two-dimensional materials self-assembly: from Honeycomb to Kagome lattices Simiso K. Mkhonta, Ken R. Elder, Zhi-Feng Huang Novel two-dimensional materials of graphene-type and beyond have been of great interest in both fundamental research and a wide range of applications. In this work we study the self assembly properties of these 2D structures via the development of a phase-field-crystal model. The free energy functional in the model is designed to favor self assembly in crystals commensurate with a triangular symmetry, leading to a range of complex phases including honeycomb, kagome, and oblique, in addition to the simple triangular phase. We also examine the elastic properties of these novel crystalline structures, and the nonequilibrium evolution processes of these systems which are governed by diffusive time-scale dynamics. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C30.00005: Stochastic self-assembly of incommensurate clusters Maria D'Orsogna, Greg Lakatos, Tom Chou We examine the classic problem of homogeneous nucleation and self-assembly by deriving and analyzing a fully discrete stochastic master equation. We enumerate the highest probability steady-states, and derive exact analytical formulae for quenched and equilibrium mean cluster size distributions. Upon comparison with results obtained from the associated the mass-action Becker-D\"{o}ring (BD) equations, we find striking differences between the two corresponding equilibrium mean cluster concentrations. These differences depend primarily on the divisibility of the total available mass by the maximum allowed cluster size, and the remainder. When such mass ``incommensurability'' arises, a single remainder particle can ``emulsify'' the system by significantly broadening the equilibrium mean cluster size distribution. This discreteness-induced broadening effect is periodic in the total mass of the system but arises even when the system size is asymptotically large, provided the ratio of the total mass to the maximum cluster size is finite. Our findings define a new scaling regime in which results from classic mass-action theories are qualitatively inaccurate, even in the limit of large total system size. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C30.00006: Ultra-soft 100 nm thick zero Poisson's ratio film with 60{\%} reversible compressibility Chieu Nguyen, Steve Szalewski, Ravi Saraf Squeezing films of most solids, liquids and granular materials causes dilation in the lateral dimension which is characterized by a positive Poisson's ratio. Auxetic materials, such as, special foams, crumpled graphite, zeolites, spectrin/actin membrane, and carbon nanotube laminates shrink, i.e., their Poisson's ratio is negative. As a result of Poisson's effect, the force to squeeze an amorphous material, such as a viscous thin film coating adhered to rigid surface increases by over million fold as the thickness decreases from 10 $\mu$m to 100 nm due to constrain on lateral deformations and off-plane relaxation. We demonstrate, ultra-soft, 100 nm films of polymer/nanoparticle composite adhered to 1.25 cm diameter glass that can be reversibly squeezed over 60{\%} strain between rigid plates requiring (very) low stresses below 100 KPa. Unlike non-zero Poisson's ratio materials, stiffness decreases with thickness, and the stress distribution is uniform over the film as mapped electro-optically. The high deformability at very low stresses is explained by considering reentrant cellular structure found in cork and the wings of beetles that have Poisson's ratio near zero. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C30.00007: Inferring elastic properties of an fcc crystal from displacement correlations: sub-space projection and statistical artifacts Asad Hasan, Craig Maloney We compute the effective dispersion and density of states (DOS) of two-dimensional sub-regions of three dimensional face centered cubic (FCC) crystals with both a direct projection-inversion technique and a Monte Carlo simulation based on a common Hamiltonian. We study sub-regions of both (111) and (100) planes. For any direction of wavevector, we show an anomalous $\omega^2\sim q$ scaling regime at low $q$ where $\omega^2$ is the energy associated with a mode of wavenumber $q$. This scaling should give rise to an anomalous DOS, $D_\omega$, at low $\omega$: $D_\omega \sim \omega^3$ rather than the conventional Debye result: $D_\omega\sim \omega^2$. The DOS for the (100) sub-region looks to be consistent with $D_\omega \sim \omega^3$, while the (111) shows something closer to the Debye result at the smallest frequencies. Our Monte Carlo simulation shows that \emph{finite sampling} artifacts act as an effective disorder and bias the $D_\omega$ in the same way as the \emph{finite size} artifacts, giving a behavior closer to $D_\omega \sim \omega^2$ than $D_\omega \sim \omega^3$. These results should have an important impact on interpretation of recent studies of colloidal solids where two-point displacement correlations can be obtained in real-space via microscopy. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C30.00008: Measuring colloidal osmotic compressibility of a polymer-crowded colloidal suspension by optical trapping Jinxin Fu, Vural Kara, H. Daniel Ou-Yang Particle interactions determine the stability of nanoparticle suspensions and the phase separation of particle-polymer mixtures. However, due to the small sizes of the dispersed nanoparticles, it is not easy to directly measure interaction forces between particles in a colloidal suspension. In this paper, we propose an ``Optical Bottle'' approach to quantify these particle interactions in a suspension by measuring the colloidal osmotic compressibility of the nanoparticles. Virial expansion of the colloidal osmotic compressibility yields virial coefficients of different orders. The second order virial coefficient of aqueous suspensions of colloidal polystyrene nanospheres in the presence of high-salt (KCl) and polyethylene glycol (PEG) is found to decrease with increasing PEG concentration, suggesting an attractive depletion interaction between the PEG-crowed polystyrene particles. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C30.00009: The impact of surface properties on particle-interface interactions Anna Wang, David Kaz, Ryan McGorty, Vinothan N. Manoharan The propensity for particles to bind to oil-water interfaces was first noted by Ramsden and Pickering over a century ago, and has been attributed to the huge reduction in surface energy when a particle breaches an oil-water interface and straddles it at its equilibrium height. Since then materials on a variety of length scales have been fabricated using particles at interfaces, from Pickering emulsions to Janus particles. In these applications, it is simply assumed that the particle sits at its hugely energetically favourable equilibrium position. However, it was recently shown that the relaxation of particles towards their equilibrium position is logarithmic in time and could take months, much longer than typical experiments. Here we investigate how surface charge and particle 'hairiness' impact the interaction between micron-sized particles and oil-water interfaces, and explore a molecular kinetic theory model to help understand these results. We use digital holographic microscopy to track micron-sized particles as they approach an oil-water interface with a resolution of 2 nm in all three dimensions at up to thousands of frames per second. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C30.00010: Wavefront Kinetics of Plamsa Oxidation of Polydimethylsiloxane: Implications for Micropatterning Size Limits by Wrinkling Angus Bayley, Joao Cabral, Joanne Lingling Liao, Arnaud Chiche, Paul Stavrinou We investigate spontaneous wrinkling of bilayers under compressive strain as a means of producing highly ordered micropatterns that span macroscopic areas. Our focus is a fast track wrinkling method, involving plasma oxidation of pre-stretched elastomeric polydimethylsiloxane (PDMS), which when subsequently relaxed forms one-dimensionally aligned sinusoidal surface undulations. For the first time, we evaluate this micropatterning method in terms of the range of geometries of 1D wrinkles it can produce. Our investigation reveals the presence of an apparent minimum wrinkling wavelength for a given value of prestrain (approximately 600nm for a prestrain of 10{\%}), offering clues regarding the kinetics of glassy film formation on the surface of PDMS during plasma oxidation, which is subsequently investigated. X-ray reflectometry and analysis of wrinkling behavior for a selection of PDMS samples exposed to a range of plasma doses yields evidence that this transient film growth process is not dissimilar to the process of frontal photopolymerization. With the benefit of this finding, a route to further minimization of wrinkle periodicity - increasing processing pre-strain - is identified and subsequently implemented, allowing us to access periodicities as low as 140nm. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C30.00011: Novel low temperature phase transitions in short grafted chains as a model for monolayers of amphiphile molecules with ionic heads Carlos Gonzalez-Castro, Guillermo Ramirez-Santiago We have carried out extensive Monte Carlo simulations in the NPT ensemble of a model for Langmuir monolayers of amphiphile molecules with ionic heads deposited on an interface. We considered a previously proposed coarse--grained model [1] in which the molecules are represented as short chains made up of beads with one slightly larger head confined at the interface. By analyzing the behavior of several order parameters as a function of temperature and pressure we obtained a liquid expanded phase and various ordered condensed phases with different molecular tilts. More importantly, we found a novel ``untilted'' to ``collective tilted'' to ``small correlated tilted'' phase transition at low temperatures, and different pressure values, as suggested by the behavior of two order parameters. One that measures the average molecular inclination and the other that measures the average projection on the x--y plane of the vector that joins the head center with the last monomer center of each molecule. The latter yields information about the correlation of the molecular tilt. \\[4pt] [1] C. Stadler, H. Lange and F. Schmid, Phys. Rev. E, Vol. 59, (1999). [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C30.00012: Optical conveyors: Active tractor beams for colloids, emulsions and aerosols David Ruffner, David Grier A tractor beam is a travelling wave that transports material back to its source. We experimentally demonstrate such a beam by coherently superposing coaxial Bessel beams. These optical conveyors have periodic intensity variations along their axes that act as highly effective optical traps for micrometer-scale objects. Varying the Bessel beams' relative phase shifts the traps axially and thereby selectively transports trapped objects either downstream or upstream along the length of the beam. The same methods used to project a single optical conveyor can project arrays of independent optical conveyors, allowing bidirectional motion. This opens up new possibilities for three dimensional transport of colloids, emulsion droplets and aerosol particles with sub-micrometer resolution over ranges extending to 50 micrometers and potentially beyond. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C30.00013: Robust thermosensitive colloidal photonic crystals Jin-Gyu Park, William Rogers, Sofia Magkiriadou, Young-Seok Kim, Vinothan Manoharan Photonic structures made of colloidal nanoparticles that show dynamic switching have tremendous potential applications including tunable lasers, biological/chemical sensors, and optical devices. As a building block, hydrogel nanoparticles made of poly(N-isopropylacrylamide)(pNiPAm) are particularly interesting due to their tunability in size with response to temperature. Uses of pNiPAm as a 3-dimensional building block in colloidal arrays, however, are strictly limited because the structures are easily destroyed by increased thermal fluctuations around their volume phase transition temperature. Here we demonstrate a simple and robust way to assemble photonic crystals made of soft pNiPAm colloidal particles. Our particles consist of a polystyrene core and transparent p(NiPAm-co-Acrylic acid) shell. The scattering is therefore dominated by the polystyrene core, yet the inter-scatterer distance is tunable with temperature change. We use depletion attraction to assemble the colloidal particles into 3D photonic crystals. The resulting structures show dynamic modulations of stop-bands from 24C to 70C without losing the structural features. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C30.00014: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 5:18PM - 5:30PM |
C30.00015: On Ulam's packing conjecture: is the ball the worst shape for packing? Yoav Kallus The question of which convex shapes leave the most empty space in their densest packing is the subject of Reinhardt's conjecture in two dimensions and Ulam's conjecture in three dimensions. In two dimensions, a regular octagon whose corners have been smoothed to arcs of hyperbolas is known to be a local minimum of the optimal packing fraction and the circle is known to not be a local minimum. In three dimensions, we show that the ball is a local minimum: it is the worst packing shape among shapes of sufficiently low asphericity. We also discuss related results in higher dimensions and for the worst shape for other optimal arrangement problems. [Preview Abstract] |
Session C31: Polymeric Elastomers and Gels
Sponsoring Units: DPOLYChair: Jens Glaser, University of Minnesota
Room: 339
Monday, March 18, 2013 2:30PM - 2:42PM |
C31.00001: How water content determines small-molecule mobility in hydrogels Sung Chul Bae, Ah-Young Jee, Steve Granick Surprisingly little is known from quantitative physical study about dynamics within hydrogels, in spite of the fundamental importance of solvent concentration in the theory and application of nonpolar polymer gels. We have prepared model hydrogels of different kinds and studied the diffusion within them of fluorescently-labeled solutes. Comparison of translational and rotational diffusion shows remarkable dependence, on water content, of translation-rotation correlations. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C31.00002: Unexpected water screening in gel-encapsulated terbium systems Tetyana Ignatova, Juan G. Duque, Stephen K. Doorn, Slava V. Rotkin Terbium (Tb) salts and their compounds are used as bio-labels and dyes due to their unique photoluminescence (PL) properties. Our study focuses on PL of Tb ions in crowded surroundings, mimic to living cells. Silica gel with SWNT dispersed with sodium deoxycholate (DOC), silica gel only, and DOC water solution were chosen as prototypes for bio-environment. Time resolved and steady state spectroscopy was used to monitor the behavior of terbium in different enclosing. We observed significant increase of PL lifetime in the gel in comparison with aqua solution, which indicates the reduction of OH groups in the co-ordination shell of the Tb ion. PL spectra of Tb in water and in DOC micelles and gels confirmed structural changes during encapsulation process. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C31.00003: Molecular origins of reinforcement in responsively nanostructured, shear thinning double network hydrogels Matthew Glassman, Jacqueline Chan, Bradley Olsen Triblock copolymers containing associative protein midblocks and thermoresponsive endblocks have recently been shown to form reinforceable, nanostructured hydrogels. Triggered self-assembly of orthogonal physical crosslinks causes a reversible transition from a shear thinning material at low temperatures to a toughened state at high temperatures with resistance to creep, erosion, and failure in uniaxial compression. In this study, properties of the individual networks were varied to investigate the relationships among association density in the protein network, nanostructure formation, and ultimate mechanical reinforcement that could be realized in this double network architecture. Through a broad survey of materials, large changes in static and dynamic mechanical properties were identified, some leading to a 14-fold increase in plateau modulus and a decrease in creep compliance by more than two orders of magnitude over the range from 5-50$^{\mathrm{o}}$C. Detailed investigation of the structure and relaxation behavior of the underlying network of micelles with associative coronae reveals important parameter constraints for achieving high performance in these double network gels. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C31.00004: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 3:18PM - 3:30PM |
C31.00005: Large-amplitude oscillatory shear of methylcellulose solutions through the sol-gel transition John W. McAllister, Joseph R. Lott, Frank S. Bates, Tim P. Lodge Methylcellulose (MC) is a chemically modified polysaccharide that is partially substituted by methoxy groups. Aqueous MC solutions undergo gelation and phase separation (LCST) upon heating, which is attributed to the assembly of molecules into fibrillar structures noted by cryo TEM images and small angle neutron scattering. The transition from a strain-softening solution to a strain hardening gel upon heating has been probed using large-amplitude oscillatory shear (LAOS). In addition to strain hardening, MC solutions exhibit positive normal stresses (pressing the plates of the rheometer apart) while MC gels exhibit negative normal stresses (contracting the plates together) at stresses larger than 10 Pa. Nonlinear rheological responses are a useful probe to monitor structure-property relationships as MC transitions from a solution to a gel. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C31.00006: Analysis of the biaxial stretching of Tetra-PEG gel Takuya Katashima, Ung-il Chung, Takamasa Sakai, Kenji Urayama Non-linear stress-strain relationships that elastomers exhibit are governed by the strain energy density function (W). Although many types of W models were examined, full understanding of W still remains incomplete due to the two problems; the limitation in deformation range and the inhomogeneities in polymer networks. In this study, we perform various types of biaxial stretching for Tetra-PEG gels, which is a near-ideal network. We found that (1) the Neo Hookean (NH) model, which has been considered as a model for ideal networks, fails to describe the biaxial date; (2) the stress ratio $\sigma_{\mathrm{y}}$ / $\sigma_{\mathrm{x}}$ (where x and y are the stretching and constrained directions, respectively) in pure shear is larger than the expectation of the models with no strain-coupling term, and $\sigma _{\mathrm{y}}$ / $\sigma_{\mathrm{x}}$ increases with an increase in polymer fraction. These results indicate that the two effects, i.e., finite extensibility and strain-coupling should be introduced in W. We extend the Gent model, which considers the finite extensibility on the basis of the NH model, by adding a linear I$_{\mathrm{2}}$ term. This model successfully describes the whole data with all fractions. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C31.00007: Low Modulus Silicone Elastomer Networks with Desirable Viscoelastic Properties for Cell Mobility Studies Julie N. L. Albert, Jan Genzer Biocompatible silicone elastomer networks provide a versatile platform for studying the effect of compliance on cell movement. In conventional network formation schemes, poly(dimethylsiloxane) (PDMS) is cross-linked via reactive end groups, and the modulus of the material is controlled by the ratio of polymer to cross-linker. However, low modulus networks fabricated in this manner are imperfect and insufficiently cross-linked with high soluble fractions and reduced elasticity, especially as the network modulus approaches that of soft tissues (on the order of 10 kPa). In order to overcome these limitations, we synthesized PDMS chains in which vinylmethylsiloxane units were incorporated every $\approx $15-20 kDa along the polymer backbone. We then cross-linked the polymer through the vinyl groups using hydrosilylation chemistry. The resultant networks exhibited lower soluble fractions and lower viscous dissipation/greater elasticity as compared to equivalent-modulus networks fabricated by the conventional end-group cross-linking scheme. We attribute the mechanical properties of our networks to the presence of network-bound free chain ends that effectively plasticize the network to lower the modulus without compromising network elasticity. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C31.00008: Coarse grain modeling of imperfect networks and gels Yelena Sliozberg, Tanya Chantawansri, Timothy Sirk, Jan Andzelm, Randy Mrozek, Joseph Lenhart There is a strong interest in chemically and physically cross-linked entangled polymer networks and gels due to their tailorability in respect to both mechanical and structural properties. Even so, these properties are sensitive to imperfections in the polymer networks, such as dangling ends and loops. Computational modeling is a viable tool to understand the effects of these imperfections on properties in a controlled environment, in which specific defects can be systematically created and varied. In this study, we have employed generic bead-spring models of flexible chains to study a chemically and physically cross-linked network. Our results will show the importance defects, such as dangling ends and loops, on the mechanical and structural properties of these networks. We will also discuss the effects of these defects on the time-dependent elastic modulus. The simulation results qualitatively agree with experimental results and the other theoretical predictions. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C31.00009: The Interesting Influence of Nanosprings on the Viscoelasticity of Elastomeric Polymer Materials: Simulation and Experiment Jun Liu, Liqun Zhang, Dapeng Cao Among all carbon nano-structured materials, helical nanosprings or nanocoils have attracted particular interest. Here, carbon nanosprings are directed to adjust the viscoelasticity and reduce the resulting hysteresis loss (HL) of elastomeric polymer materials. Two kinds of nanosprings filled elastomer composites are constructed: system I is obtained by directly blending polymer chains with nanosprings, while system II is composed of the self-assembly of the tri-block structure (chain-nanospring-chain). Through coarse-grained molecular dynamics simulation, we find that the incorporation of nanosprings prominently improve the mechanical strength of the elastomer matrix, and importantly, decrease considerably the hysteresis loss. Furthermore, the spring constant of nanosprings and the interfacial chemical coupling between chains and nanosprings both play a crucial role. It is inferred that elastomer/carbon nano-structured materials with good flexibility and reversible mechanical response (nanosprings, nanocoils, nanorings and thin graphene sheet) may possess both excellent mechanical and low HL properties, which could open a new avenue to fabricate high performance automobile tires, and facilitate the large-scale industrial application of these materials. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C31.00010: High-Strain Rate Mechanical Response of Cured Epoxy Networks Timothy Sirk, Ketan Khare, Mir Karim, Joseph Lenhart, Rajesh Khare, Jan Andzelm Chemically cross-linked polymer networks are increasingly common in high performance composites, adhesives and other applications involving high-impact loading conditions or ballistic collisions. The mechanical behavior of epoxy and other polymer networks exhibit a strong dependence on strain rate near the glass transition temperature (Tg); however, the elastic modulus at strain rates greater than 10$^5$ 1/s is difficult to capture with experimental techniques. We present computational results of Di-Glycidyl Ether of Bisphenol A (DGEBA) and Jeffamine diamines (D230) from molecular dynamics simulation, which is intrinsically well-suited to model material deformation at high strain rates. Our results show that the experimental Tg can be reproduced from molecular dynamics, and the Williams-Landel-Ferry equation is useful in rationalizing the shift of Tg due to fast annealing and high strain rates. Temperature sweeps of elastic modulus show the glass-rubber transition to occur over a significantly wider temperature range compared with experimental measurements at low strain rates. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C31.00011: Mechanical and Thermal Properties of Cross-Linked Phenolic Resins Using Molecular Dynamics John Lawson, Joshua Monk, Justin Haskins, Charles Bauschlicher To gain insight into the design of materials, it is valuable to understand how the chemical make-up at the nano-scale can influence the thermal and mechanical bulk properties. An atomistic computational study allows us to manipulate the structural make-up of individual phenolic chains as well as generate various cross-linked (or cured) systems. In this study, molecular dynamics simulations of bulk phenolic systems were performed with the software LAMMPS. An all-atom force field was chosen to investigate how the strength and thermal conductivity of the phenolic material varies as a function of the degree of cross-linking and chemical make-up of the phenolic chains. Small-scale mechanical tests were performed to compute various moduli for the phenolic systems above and below the glass transition at varied degrees of cross-linking. The thermal conductivity was obtained using the Green-Kubo approach for the virgin phenolic system as well as the strained systems. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C31.00012: Investigation of the Melting Point Depression of 12-Hydroxystearic Acid Organogels Using the Flory Diluent Model Kevin Cavicchi, Brian Lipowski This talk will focus on the gelation behavior of 12-hydroxystearic acid (12-HSA) in organic solvents. Thermo-reversible gelation occurs by crystallization of 12-HSA in organic solvent to form 3-D fibrillar networks. The melting point vs. composition for 12-HSA in a range of solvents has been measured. The liquidus lines could be fit with the Flory-diluent model that takes into account the non-ideal free energy of mixing and the disparity in the size of the solvent and 12-HSA molecules. The fits indicated that the effective molar volume of 12-HSA increased as the hydrogen bonding Hansen solubility parameter $\delta_{\mathrm{h}}$ of the solvent decreased. This is attributed to the hydrogen-bonding driven aggregation of the 12-HSA in the liquid state based on previous observations that 12-HSA forms aggregated structures in non-polar solvents (e.g. dimers and tetrameters). These results indicate that the stabilization of the solid phase in 12-HSA solutions has contributions from both variations in the entropy of mixing as well the enthalpy of mixing. The importance of both these factors for designing small molecule gelators will be discussed. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C31.00013: Structural analysis and mechanical properties of syndiotactic polypropylene (sPP) gels formed at different cooling temperatures Keita Takaesu, Atsushi Hotta The effects of the cooling temperature on the mechanical properties and the microstructure of the syndiotactic polypropylene (sPP) gel were investigated. sPP/decahydronaphthalene gels were prepared at different cooling temperatures followed by the compression testing to evaluate the mechanical properties. To analyze the microstructure of the gels, optical microscopy observation, differential scanning calorimetry (DSC) analysis, Fourier transform infrared spectroscopy (FTIR) analysis, and small angle X-ray scattering (SAXS) analysis were carried out. It was found that the sPP gel prepared at the lowest cooling temperature using liquid nitrogen (named Gel LN) showed highest mechanical properties. The sPP gels cooled at relatively high temperatures of 38$^{o}$C (Gel 38), 25$^{o}$C (Gel 25), and 0$^{o}$C (Gel 0) became more brittle. DSC analysis and FTIR analysis revealed that the crystal amount, which acted as the crosslinking points of sPP gels, of Gel LN was largest among other sPP gels. In addition, SAXS analysis suggested that the size of each crystalline domain in Gel LN was about 15 nm. It was concluded from these results, that Gel LN exhibited the highest mechanical properties due to its homogeneous and dense crystalline network structures. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C31.00014: Mechanical Measurement of Gels: Pre-stress and Failure Sami Fakhouri, Shelby Hutchens, Alfred Crosby A recently developed technique, Cavitation Rheology (CR), provides a means of measuring the mechanical properties of soft materials on length scales from $\sim 0.1$ $\mu$m to mm at a specific location. CR involves inflation of a small bubble at the tip of a syringe needle which has been inserted into a material. After insertion, the pressure in the syringe is raised until a critical point where the material fails, resulting in rapid inflation of a cavity at the syringe tip. The critical pressure for failure can provide information about the materials properties of the system such as the elastic modulus, E, the critical strain energy release rate, $G_c$, and the surface energy, $\gamma$. Modulus measurements by CR have been made in many synthetic gels and biological tissues with similar accuracy to shear rheology. However, as CR requires insertion of a needle into the subject material, measurements are inherently made in a pre-stressed state. In this work, we have examined the pre-stress associated with needle insertion and the influence of this stress on failure in a synthetic gel of PMMA-PnBA-PMMA triblock copolymer in 2-ethylhexanol. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C31.00015: First and second order volume-phase transitions in photo-cross-linked poly(cyclopropylacrylamide) and poly(N-vinylisobutyramide) coatings Ryan Toomey, Leena Patra The temperature-dependent swelling of thin (100 nm) coatings of photo-cross-linked poly(cyclopropylacrylamide), or poly(CPAAm), and poly(vinylisobutyramide), or poly(NVIBAm) was characterized. Both polymers contained 3 mole{\%} of methacroylaminobenzophenone (MnBP) as the photo cross-linking unit. Poly(CPAAm-co-MnBP) showed a continuous, 2nd order deswelling transition between 10 and 70 $^{\circ}$C with no hysteresis. Poly(NVIBAm-co-MnBP), on the other hand showed a discontinuous, 1st order deswelling transition at 45 $^{\circ}$C with hysteresis. The differences in the swelling transitions can be interpreted within the context of the cloud-point measurements of the uncross-linked polymers. Whereas poly(NVIBAm-co-MnBP) has a significant off-zero critical point (\textgreater\ 10 wt{\%} polymer) at 36 $^{\circ}$C, poly(CPAAm-co-MnBP) has a critical point at zero concentration and 23 $^{\circ}$C. Concurrent measurements of the infrared vibrations of the amide groups in both polymers further revealed that the amide group in poly(CPAAm-co-MnBP) maintains a constant hydrogen-bonding environment throughout the volume-phase transition. Poly(NVIBAm-co-MnBP), on the other hand, has a concentration-dependent hydrogen bonding environment around the carbonyl group, which is consistent with an off-zero concentration in the cloud point curve. [Preview Abstract] |
Session C32: Polymer Nanocomposites I
Sponsoring Units: DPOLYChair: Venkat Ganesan, University of Texas at Austin
Room: 340
Monday, March 18, 2013 2:30PM - 2:42PM |
C32.00001: Mechanical Properties of Cross-linked Epoxy - Carbon Nanotube Nanocomposites: Effect of Interfacial Interactions and Nanoconfinement Ketan Khare, Rajesh Khare The effect of fillers on polymer nanocomposites is conceptually analogous to the effect of nanoconfinement of polymers by solid substrates. This assertion is tested in our work by studying the volumetric, structural, dynamic, and mechanical properties of nanocomposites of cross-linked epoxy and carbon nanotubes (CNTs) using molecular simulations. We use atomistically detailed models in our simulations, which allow us to explicitly account for the specific chemical interactions between the filler and the matrix. Our results show that the poor interfacial interactions between the filler and the matrix lead to a tendency for depression in the glass transition temperature (T$_{g}$) of the nanocomposite compared to the neat cross-linked epoxy. Functionalization of CNTs is expected to strengthen interfacial interactions between the filler and the polymer matrix, and thus can have a strong impact on the properties of the nanocomposite. The relationship between the T$_{g}$, molecular dynamics, and the mechanical properties of the nanocomposites can be non-intuitive. Results will be presented for the relationship between mechanical properties, molecular dynamics, and the T$_{g}$ of nanocomposites of cross-linked epoxy containing both, pristine and functionalized CNTs. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C32.00002: Effect of Grafting Density and Curvature of Nanoparticle on Mechanical Properties of Polymer Nanocomposite Huikuan Chao, Robert Riggleman Polymer nanocomposites (PNCs) are materials obtained by dispersing nanoparticles in a polymer matrix. Due to the large surface-to-volume ratio between the nanoparticles and the polymer, substantial enhancement in dynamic and mechanical properties can be observed for relatively low concentrations of particles. One common approach for ensuring dispersion of the nanoparticles is to end-graft polymers that are miscible in the host polymer matrix to the surface of the nanoparticles in the PNC. In many applications, understanding the role that the nanoparticles with grafted chains have on the resulting mechanical properties of the PNC will be of central importance in the final applications as well as the processing of the original sample. In this talk, I will first introduce the coarse grain model we used to study various mechanical properties of polymer and PNC. By designing a model system where the nanoparticles with different radii are remain dispersed whether they are grafted with polymer chains or not, we are able to isolate the role that chain grafting has on various aspects of the mechanical response of the PNC. We provide a detailed picture of how the elastic constants, yield stress, and the strain hardening behaviors depend on the grafting density and the size of nanoparticles [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C32.00003: Mechanical properties of homogeneous nanofiber composites fabricated by electrospinning Kentaro Watanabe, Atsushi Hotta A new composite that possesses uniformly dispersed polymeric nanofibers in different polymeric matrix was introduced by using electrospinning. Recently, nanofibers have been actively investigated for fillers for polymeric nano-composites to enhance the mechanical properties of the composites or to get highly functionalize polymer materials. Polyvinyl alcohol (PVA) nanofibers were selected as polymeric fillers and polydimethylsiloxane (PDMS) was used for polymeric matrix. Internally well-dispersed composites were fabricated by this new method, whereas rather anisotropic composites were also made by the traditional sandwich method. The morphology of the composites was analyzed by field emission scanning electron microscopy (FE-SEM). It was found that, in the new internally well-dispersed composites, PVA nanofibers existed from the both surfaces of the polymer matrix, uniformly dispersed in the composite. Isotropic mechanical properties were observed for internally well-dispersed composites, whereas relatively anisotropic characteristics could be observed for the traditionally-made composites. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C32.00004: Nanoparticle synergies in modifying thermal conductivity for heat exchanger in condensing boilers Kai Yang, Shan He, Thomas Butcher, Rebecca Trojanowski, Ning Sun, Dilip Gersappe, Miriam Rafailovich The heat exchanger we are using for condensing boilers is mainly made from aluminum alloys and stainless steel. However, the metal is relatively expensive and corrosion together with maintenance is also a big problem. Therefore, we have developed a new design and material which contain carbon black, carbon nanotube, aluminum oxide and graphene as additives in polypropylene. When multiple types of particles can be melt blended simultaneously and synergies can be achieved, imparting particles to the nanocomposite, achieved much higher thermal conductivity rather than single additive. Here we show the flame retardant nanocomposite which can pass the UL-94-V0 vertical burning test, perform nice in Cone Calorimetry Test and has relatively good mechanical properties. SEM images of the blend show that the Carbon nanobute and other additives well dispersed within the polymer matrix which match our computational calculation for getting the percolation to achieve thermal conductivity around 1.5W/m$\cdot$K rather than 0.23W/m$\cdot$K as pure polypropylene. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C32.00005: Molecular Dynamics Simulations on the Mechanical Properties of Blend of Polymer and Polymer Grafted Nanoparticles Dong Meng, Sanat Kumar, Gary Grest, Ting Ge, Mark Robbins Grafting polymers onto the surface of NPs has become one of the most effective approaches to integrate NPs into polymer melts. It then becomes crucial to be able to understand the mechanical properties of the resulting composites. Using molecular dynamics simulations we investigated how the presence of grafted NPs changes mechanical responses of an entangled polymer matrix below its glass transition temperature under uniaxial tensile deformation. It is found that comparing to neat polymer melts adding fillers slightly increases the elastic modulus as well as the yielding stress of the composites. But changes in the fracture work (total work needed to pull samples to failure) are every dependent on the loading fraction and dispersion state of NPs in polymer matrix. At small loadings fracture work is found to be significantly reduced due to polymer crazing around NPs, which is induced by local triaxial stresses, while at higher loadings a big degree of enhancement is observed because of network forming among grafted NPs. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C32.00006: Revealed nano-architecture and dynamics of bound polymer layers on nanofillers Tadanori Koga, Naisheng Jiang, Maya Endoh, Tomomi Masui, Hiroyuki Kishimoto, Takashi Taniguchi, Hiroshi Watanabe, Michihiro Nagao It is known that the physical properties of adsorbed polymers on solids are often different from those of bulks. However, the mechanism associated with the structure and dynamics at the polymer/solid interfaces still remains unsolved, primarily due to the lack of suitable experimental tools. Recently, we used small-angle neutron scattering and neutron spin-echo spectroscopy which allow us to highlight adsorbed polymers on nanofillers with deuterated labeling. The system used was polybutadiene (PB) adsorbed on carbon black (CB) fillers in toluene. The CB (80 nm in diameter) was compounded into PB by using a Banbury mixer. The CB/PB compound was then dissolved in toluene, until the weight of the compound remained unchanged. To label the resultant un-dissolved PB layer on CB (i.e., about 3 nm in thickness based on TEM analysis) for the neutron scattering experiments, deuterated toluene, which has the nearly same scattering length density as that of CB, was used. We will highlight the unique structure and dynamics of the bound PB layer by comparing with a PB brush grafted on CB and further discuss geometric effects of solids (curvature or flat) on the nano-architectures at the polymer/solid interfaces. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C32.00007: Studying the effect of the curvature of a polymer-grafted nanoparticle surface on equilibrium brush dimensions via small-angle neutron scattering (SANS) and polymer field theory Michael J. A. Hore, Boualem Hammouda For polymer-grafted nanoparticles with a size that is on the order of the radius of gyration of the polymer chains, the curvature of the nanoparticle surface has a role in determining the equilibrium brush structure. The curvature can cause an increase in the conformational entropy of the brush chains relative to that of a flat surface, and hence has large implications for dispersion of nanoparticles within a polymer matrix. Here, small-angle neutron scattering (SANS) is performed to measure the radius of gyration of a poly(ethylene glycol) (PEG) brush that is grafted to the surface of gold nanorods (diameter x length: 10 x 30 nm) and nanospheres (diameter: 10 nm) in both solution and a within a polymer melt. To help interpret the SANS measurements, field theoretic simulations are employed to calculate density profiles for the brush polymer in solution and a polymer melt as a function of nanoparticle shape, radius, and brush grafting density . [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C32.00008: An interface controlled dynamic stiffening in polymer nanocomposites Erkan Senses, Pinar Akcora Tunable interfaces between inorganic and organic phases determine the mechanical behavior of responsive and adaptive composites. We present that bonding/debonding of chains on nanoparticles can be modulated with extensive periodic strains. Mechanical response of an attractive model polymer composite, poly(methyl methacrylate) filled with silica nanoparticles of sizes 13 nm and 56 nm, is monitored in series of deformation-resting experiments allowing us to tune the interfacial strength of polymer. We show that this deformation process exhibit unusual stiffening of composites as the matrix polymer is bound to the surface stronger on removal of strain. Mechanical response during the recovery together with SANS and FTIR analysis of the composites at different states of deformation reveal that this behavior arises from enhancement in the entanglement of chains at interfaces. We studied the effects of strain amplitude, confinement parameter (ID/2Rg) and resting time and found that the stiffening is manifest only after large strains. This behavior offers an `on demand' reinforcement properties to polymer nanocomposites, implying that the composites with attractive interfaces can self-stiffen as needed. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C32.00009: Thermoplastic Elastomers via polyolefin/Layered Silicate Nanocomposites Sri Harsha Kalluru, Eric W. Cochran Here we report the synthesis of fully exfoliated polyolefin nanocomposites via Surface-Initiated Ring Opening Metathesis Polymerization (SI-ROMP). Montmorillonite (MMT) clay platelets were rendered hydrophobic through ion exchange with alkyl-ammonium surfactants terminated with norbornene. We were then able to form block copolymer brushes of (substituted) norbornenes and cyclopentene via SI-ROMP. Subsequent hydrogenation yielded highly crystalline polyethylene and rubbery saturated polynorbornenes, thus giving a thermoplastic elastomer. Nanocomposites were prepared with different nanofiller percentages and were characterized for morphological (XRD, TEM), thermal (TGA, DSC), and mechanical (DMA, Rheology) properties. Complete exfoliation of nanocomposites was confirmed by XRD and TEM. A fraction of the polymer brushes were subsequently removed from their substrate by reverse ion exchange and characterized in parallel with their corresponding nanocomposite analogs. In this way we were able to directly assess the role of the filler particle in the thermal properties, melt rheology, morphology, and tensile properties. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C32.00010: Bound layer in polymer nanocomposites: nanoparticle size dependence and solvent effect Nicolas Jouault, Joseph Moll, Dan Zhao, Sanat Kumar An interfacial polymer layer, or bound layer, has long been of interest in polymer nanocomposites (PNCs) since the divergent properties of the bound layer as compared to the bulk can have very important effects on PNCs properties. We study a system comprised of silica nanoparticles (NPs) in poly-2-vinylpyridine (P2VP) prepared by the solvent casting method. First, we determine by TGA the bound layer thickness $\delta $ in PNCs and show that $i) \delta $ decreases as NP radius decreases due to a decrease of polymer adsorbed amount and \textit{ii)} $\delta $scales as N$^{1/2}$, where N is the chain length, independent of NP size. This result qualitatively follows the theoretical prediction in term of loops distribution developed by Guiselin et al. for a flat surface. Here we note that the bound layer thickness is obtained by assuming that the polymer chains have a density corresponding to a dense melt -- this is clearly not a well-founded argument, and hence we measure a more realistic extent of the bound layer by using Dynamic Light Scattering in solution. Then, we investigate the influence of the solvent used to prepare the PNCs (in our case methylethylketone (MEK) or pyridine) on the bound layer and the final silica dispersion in PNCs. We show that pyridine prevents the P2VP adsorption leading to poor silica dispersion in the final PNCs while in MEK the good dispersion is related to the formation of a bound layer. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C32.00011: Non-isothermal melt crystallization behavior of Poly(ethylene terephthalate)/graphene nanocomposites Shigeru Aoyama, Yong Tae Park, Toshiaki Ougizawa, Christopher Macosko Poly(ethylene terephthalate)(PET)/graphene nanocomposites were prepared by melt mixing with a goal of reduced gas permeability. With 2 wt{\%} of few layered graphene, PET/graphene composite films show more than 70{\%} decrease in N$_2$ gas permeation. Their non-isothermal crystallization were also investigated by differential scanning calorimetory (DSC). Crystallization temperature, Tc, of PET/graphene nanocomposites was more than 8 $^{\circ}$C higher than neat PET and the increment increased along with the concentration of graphene. This suggests that the nucleation effect of graphene enhanced with the increase in concentration of graphene. On the other hand, PET/graphene nanocomposites show shorter half crystallization time, t$_{1/2}$, than neat PET at lower concentrations, but t$_{1/2}$ increased along with concentration of graphene. From Raman spectroscopy, it was shown that PET chains in nanocomposites are strongly confined in the presence of an excess of graphene. Restricted mobility of PET chains slowed crystallization. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C32.00012: Annealing polymer nanocomposite fibers and films with photothermal heating: effects on overall crystallinity and resultant mechanical properties Vidya Viswanath, Somsubhra Maity, Jason Bochinski, Laura Clarke, Russell Gorga Metal nanoparticles embedded within polymeric systems can be made to act as localized heat sources thereby aiding in-situ polymer processing. This is made possible by the surface plasmon resonance (SPR) mediated photothermal effect of gold nanoparticles, wherein incident light absorbed by the nanoparticle generates a non-equilibrium electron distribution which subsequently transfers this energy into the surrounding medium, resulting in a temperature increase in the immediate region around the particle. The current research demonstrates this effect in polymer nanocomposite systems, electrospun nanofiber mats and thin films, which have been annealed at temperatures above the glass transition and below melting. A non-contact temperature measurement technique utilizing embedded fluorophores has been used to monitor the average temperature within samples. The effect of annealing methods (conventional and plasmonic), annealing conditions (temperature and duration) and cooling mechanisms on the morphology, crystallinity, and mechanical properties of polymeric nanocomposite systems will be discussed. The specificity of plasmonic heating coupled with the inside-outside approach of annealing presents a unique tool to thermally process polymers. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C32.00013: DSC study of the isothermal crystallization of iPP-CNF nanocomposites Dorina M. Chipara, Mircea Chipara Nanocomposite materials have been obtained by dispersing vapor grown carbon nanofibers (VGCNFs) with diameters ranging between 60 and 100 nm and lengths between 30,000 and 100,000 nm supplied by Pyrograf Products, Inc (PR-24AG) within a polymer matrix - isotactic polypropylene (iPP) - type Marlex HLN-120-01 with density 0.906 g/cm$^{3}$ and melt flow rate at 230 $^{o}$C of 12 g/10 min, supplied by Philips Sumika Polypropylene Company. VGCNFs have been purified and disentangled by reflux in dichloromethane and deionized water followed by vacuum filtering (for 24 h) and drying at 110 $^{o}$C for 24h. The nanocomposites were obtained by melt mixing at 180 $^{o}$C for 9 minutes with a speed of 65 rpm followed by an additional mixing at 90 rpm for 5 minutes, using a HAAKE Rheomix, Nanocomposites loaded with various amounts of VGCNFs (0{\%}, 1{\%}, 2.5{\%}, 5{\%}, 7.5{\%}, 10{\%}, 15{\%}, and 20{\%} wt.) have been prepared and investigated by TA DSC Q-500. Isothermal crystallization was investigated in detail and analyzed by using an expression derived from the Avrami equation. The effect of the filler on the isothermal crystallization of iPP is discussed in detail. The research is focused on the effect of VGCNF on the degree of crystallization of iPP, crystallization rate, and dimensionality of the crystallization process. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C32.00014: The Impact of Fullerenes on the Ordering of Polyacrylonitrile in Nanocomposites Adam Imel, Mark Dadmun The presence of nanoparticles can impact the crystallization and ordering of polymer chains in a nanocomposite. We have found that certain fabrication conditions of polyacrylonitrile (PAN) and fullerenes, as well as PAN and SWNTs, produce a SAXS peak. This SAXS peak is similar to a microphase separation peak and indicates a self-assembly of the nanocomposite on a length scale of $\sim$ 150 {\AA}. In order to identify the origin of this peak, we have completed dynamic light scattering, viscosity, small angle x-ray scattering and wide-angle x-ray scattering experiments to characterize the dispersion of C60 in solution and in the final solid nanocomposite. These results support a completely miscible solution. The interpretation of the SAXS \& WAXS results suggest that the addition of C60 directs the crystallization of PAN in the final nanocomposite by dramatically decreasing the amount of crystallinity while also affecting the packing structure and limiting the size of the PAN crystals. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C32.00015: Polymer Lamellar Crystals Containing Precise Half-Folds Confined by Nano-Particles of Giant Molecular Shape Amphiphiles Xuehui Dong Chain-folded polymer lamellar crystals with precise half-folds were first time experimentally observed in two specifically designed giant molecular shape amphiphiles. These molecules were synthesized via Click Chemistry to construct a nano-particle such as polyhedral oligomeric silsesquioxane (POSS) or [60]fullerene (C$_{60})$ tethered a poly(ethylene oxide) (PEO) chain as a tail. When these PEO tails crystallized, both integral folded crystals and crystals with the half-folds were identified experimentally. This is due to the fact that during the PEO tail crystallization, the nano-particles at ends of PEO chains build up double layered structures to impose the PEO chains to create specific number of stems in their crystals which must balance the cross-sections of the nano-particles and the stems. [Preview Abstract] |
Session C33: Focus Session: Organic Electronics and Photonics - Interfaces and Contacts
Sponsoring Units: FIAPChair: Xinran Zhang, Georgetown University
Room: 341
Monday, March 18, 2013 2:30PM - 3:06PM |
C33.00001: Interface Charge Transport in Organic Transistors as Investigated by Field-Induced Electron Spin Resonance Invited Speaker: Tatsuo Hasegawa Most of high-performance organic thin-film transistors (OTFTs) as recently developed is attainable with non-doped, single-component $\pi $-conjugated materials that exhibit high layer crystallinity both for small-molecules and polymers. The layer crystallinity is quite suitable to compose channel transport layers of the OTFTs, although the main origin to hinder the charge transport or the intrinsic carrier mobility is still controversial; intra- or intermolecular electron-phonon coupling, polarization effects by the gate-dielectrics, or thermal or extrinsic disorder effects. Here we discuss the interface charge transport in the OTFTs, as investigated by field-induced electron spin resonance (FESR) technique that probes 1/2 spin of carriers induced by gate voltage. It is shown that the FESR technique is extremely useful especially for OTFTs, because of the fairly small spin-orbit interactions in organic materials as well as of the high layer crystallinity and the anisotropy. The following important aspects of the interface charge transport are presented and discussed: (1) Carrier motion in OTFTs can be understood in terms of the multiple trap-and-release (MTR) transport. The analyses of the motional narrowing effects allow us to estimate the average trap residence time that reaches about 1 ns [1]. (2) Carriers are frozen at the respective trap sites at low temperature. The low-temperature spectral analyses allow us to obtain the distribution of trapped carriers over their degree of localization [2, 3]. (3) We also developed a unique technique to investigate the intra- and inter-domain transport in polycrystalline OTFTs by using anisotropic FESR measurements. The method allows us to evaluate the potential barrier height at the domain boundaries within the films [4].\\[4pt] [1] Phys. Rev. Lett. 100, 126601 (2008).\\[0pt] [2] Phys. Rev. Lett. 104, 056602 (2010).\\[0pt] [3] Phys. Rev. B 85.085211 (2012).\\[0pt] [4] Phys. Rev. B 85.035308 (2012). [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C33.00002: Gold contacts for rubrene SC--FETs: the older, the better Tino Zimmerling, Bertram Batlogg Excellent charge injection, device stability, and high reproducibility in the device fabrication are key to investigate intrinsic properties of organic semiconductors in e.g. diodes and field-effect transistors (FETs). In this systematic study we show that these requirements can be met by properly conditioning the gold electrodes in a rubrene flip crystal FET --- a setup which is frequently used to explore fundamental organic electronic physics. Gold electrodes have been evaporated under HV conditions on Cytop as gate insulator. The electrodes had been exposed to air for 15~min to 1000~min before rubrene crystals have been laminated. We evaluated the efficiency of charge injection by calculating the contact resistance at the gold--rubrene interface. We observe a systematic decrease of the contact resistance by factors of up to 10$^3$ and improved device stability in terms of contact resistance and mobility after long-term air exposure. From these findings we deduce a simple recipe to fabricate non-contact-limited FETs employing rubrene crystals and gold electrodes. These observations in a typical laboratory environment are in line with the view that charge injection is not simply determined by the ideal metal workfunctions and the HOMO/LUMO levels measured under UHV conditions. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C33.00003: Indium Free Transparent Electrodes with a Tungsten Oxide Hole Blocking Layer for Organic Photovoltaic Devices Roy Murray, Patrick Reinecke, Nopporn Rujisamphan, Uli W\"urfel, S. Ismat Shah Indium Tin Oxide (ITO), the standard transparent electrode used in organic photovoltaic (OPV) devices, is expensive and cannot be deposited well on flexible plastic substrates due to its high temperature post deposition annealing. As a replacement for ITO, we used a sputtered Al-ZnO/Ag/WOx film as the transparent electrode. The work function of this electrode was found using a Kelvin Probe to be between 5 and 5.4 eV, depending on thickness. We tested several OPV materials of varying LUMO and HOMO levels on the WOx layer and found that a difference of greater than 0.2 eV between the HOMO of the donor and the conduction band of the WOx resulted in poor device performance. We further investigated the alteration of the WOx work function through doping and altering the thickness. Device analysis and cross sectional transmission electron microscope (TEM) pictures using a focused ion beam were performed. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C33.00004: Poly(3-hexylthiophene) Band Alignment With SiO2 Determined By Internal Photoemission Wei Li, Xuelei Liang, James Basham, Kun Xu, Qin Zhang, Oleg Kirillov, Rusen Yan, Curt Richter, Thomas Jackson, N.V. Nguyen, David Gundlach We report band alignment for the widely studied organic semiconductor, Poly(3-hexylthiophene) (P3HT), by using internal photoemission (IPE). P3HT solution was spin coated onto 280 nm thick SiO$_{2}$ on heavily doped P-type silicon. A 10 nm thick aluminum (Al) electrode with adjoining 70 nm thick Al contact pad were deposited onto the P3HT film through aligned shadow masks. Photocurrent in the IPE measurement was generated using a monochromator with photon energy ranging from 1.5eV to 6.0eV (0.05 eV steps) and with a DC voltage which ranged from 20V to -20V (-2V steps) applied between the silicon backside and the thick Al contact. Both positive photocurrent and negative photocurrent were observed. For the IPE measurement, the yield (Y) is defined as the ratio of the carriers contributing to the photocurrent to the incident photon flux, and the threshold at each applied voltage is obtained by extrapolating Y$^{1/3}$(h$\nu )$ to zero. The barrier height is determined from Schottky plots extrapolated to zero field. By using this established method we extract a barrier height of 4.2 eV $\pm$ 0.1 eV for the Si:SiO2 interface and 4.0 eV $\pm$ 0.1 eV for the P3HT:SiO$_{2}$ interface, respectively. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C33.00005: Electron Injection to Control Self-Assembly and Disassembly of Phenylacetylene on Gold Arthur P. Baddorf, Qing Li, Chengbo Han, J. Bernholc, Humberto Terrones, Bobby Sumpter, Miguel Fuentes-Cabrera, Jieyu Yi, Zheng Gai, Peter Maksymovych, Minghu Pan The power of two-dimensional organic molecular systems for applications including electronics, functionalization and nanolithography is enabled by our ability to produce structures through self-assembly on a surface. Unfortunately, relying on thermal fluctuations to drive the surface attachment reactions has limited self-assembled molecules (SAMs) to little beyond alkanethiols on gold. We demonstrate a seminal example of non-thermal control over molecular self-assembly, where hot-electron injection rather than thermal fluctuations transform a disordered layer of weakly bonded hydrocarbon molecules into an ordered, dense monolayer. The process is reversible, in that injection of holes reverts to a disordered state. Since electron and hole injection is accomplished with a STM, unprecedented local control over ordered and disordered domains is achieved. STM imaging and correlated density functional calculations reveal that ordered domains consist of molecules vertically aligned and more strongly attached to the gold substrate through the acetylene tail, while disordered domains contain weakly bound molecules lying flat. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C33.00006: Scanning Tunneling Microscopy and Spectroscopy of Thin Films of the Organic Semiconductor Picene Simon Kelly, Geoffrey Rojas, Petro Maksymovych Characterizing organic semiconductors at the single molecule scale has greatly enhanced our understanding of intermolecular interactions, revealing new approaches to controlling film structure, while probing the electronic properties of organic interfaces. Pentacene has long been a model system for such studies. Here we study monolayer and bilayer films of picene, a structural isomer of pentacene. We grow these films on Ag(111) by thermal evaporation in UHV and measure them in-situ using a low-temperature STM at $\sim$ 77 K. Topographic STM measurements were used to establish the film structure. Much like pentacene, picene bonds with its molecular plane parallel to the surface, but unlike pentacene, picene forms dimers. Moreover, the work-function shift amounts to almost 1 eV (up to 2x the value for pentacene), suggesting that the molecule-surface distance is closer in this case. At the same time, the splitting of the LUMO, LUMO$+$1, and LUMO$+$2 molecular orbitals is somewhat larger than even semiempirically calculated values for the gas-phase. These measurements will be compared to first principles calculations made with the HSE functional to understand changes to the electronic structure with adsorption and the role of van-der-Waals interactions between flat-lying picene molecules. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C33.00007: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 4:18PM - 4:30PM |
C33.00008: Computational Study of Phenylacetylene Self-Assembly on Au(111) Surface Chengbo Han, Wenchang Lu, Jerry Bernholc, Qing Li, Miguel Fuentes-Cabrera, Humberto Terrones, Bobby Sumpter, Jieyu Yi, Zheng Gai, Arthur Baddorf, Petro Maksymovych, Minghu Pan The direct control over Phenyl-Acetylene (PA) self-assembly/disassembly on gold, achieved by carrier injection through an STM tip, is unprecedented. We discuss theoretical interpretation of PA structures observed on Au(111) by direct STM imaging in the preceding talk. We have examined 20 different adsorption geometries through first-principles calculations, simulated their STM images and compared them to the experimentally observed patterns. While weakly adsorbed PA prefers flat orientation on Au(111), the self-assembly leads to significant rearrangement of its adsorption structure. We obtain excellent agreement with the experimental data for a densely packed, ordered monolayer of vertically aligned but tilted styrene-derivative adsorption geometry, in which the acetylene tail is directly bonded to the surface. All the major features of the experimental STM image are reproduced by calculations, leading to unambiguous determination of the self-assembled structure [1]. We will also discuss the changes in adsorption energetics and molecular level alignment induced by the self-assembly process.\\[4pt] [1] Q. Li et al., ACS Nano, 6, 9267(2012) [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C33.00009: Electronic Structure of CoPc Adsorbed onto Ag(100): Evidence for Molecule-Substrate Interaction Mediated by Co-3d Orbitals Eric Salomon, Patrick Amsalem, Noa Marom, Martin Vondracek, Leeor Kronik, Norbert Koch, Thierry Angot The electronic structure of cobalt-phthalocyanine (CoPc) molecules adsorbed on Ag(100) is investigated by photoemission spectroscopy. The results are compared to first principles electronic structure calculations, based on many-body perturbation theory in the GW approximation. The photoemission data, obtained from both multilayer and monolayer films of CoPc, showthat charge-transfer occurs between the first molecular layer and the metal surface. Varying the photon energy, to tune the photoionization cross sections, reveals that the charge-transfer related interface states mainly involve the Co-3d atomic orbitals of the Co central atom. GW calculations for the neutral CoPc molecule and its anion compare well with the experimental observations for a multilayer and a monolayer CoPc fillm, respectively. They confirm the major role played by the Co atom in the charge transfer process and elucidate the complex energy rearrangement of the molecular electronic levels upon adsorption on the metal. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C33.00010: The role of micro-shorts and electrode-film interface in the electrical transport of ultra-thin metallophthalocyanine capacitive devices Carlos Monton, Ilya Valmianski, Ivan K. Schuller The transport properties of metallophthalocyanine thin films are of much basic interest and are important ingredients in many technological applications. Ohmic conductance Co-phthalocyanine (CoPc) of thin film (15 nm to 90 nm) capacitive devices has been investigated in the 40K to 300 K temperature range. For Pd and V electrodes, the electrode-film (E-F) interface and metallic micro-shorts contribute substantially to the conductance with decrease CoPc layer thickness. A quantitative model which describes the E-F interface, CoPc roughness, micro-shorts, and the exponential temperature and thickness dependence of conductance was developed. Parameters obtained from this model are in good, quantitative agreement with independent measurements. The model predicts a 15-20 nm lower limit for capacitive device thickness, below which the conduction is mainly controlled by shorts. In this regime, small changes in mean CoPc thickness result in drastic variation in device conductance. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C33.00011: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 5:06PM - 5:18PM |
C33.00012: Phase separation-driven stratification in conventional and inverted P3HT:PCBM organic solar cells Eleni Pavlopoulou, Guillaume Fleury, Dargie Deribew, Fabrice Cousin, Mark Geoghegan, Georges Hadziioannou We have used neutron reflectivity to investigate the stratification of poly(3-hexylthiophene) (P3HT) and phenyl-C$_{61}$-butyric acid methyl ester (PCBM) blend films. Films were spun-cast on poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) and titanium oxide (TiOx) layers to mimic the procedures followed for the fabrication of conventional and inverted organic photovoltaics respectively. A 60{\%} and 75{\%} PCBM enrichment of the active layer at the interface with PEDOT:PSS and TiOx respectively has been revealed, as well as a PCBM depletion at the free surface of the film which is driven by the lower surface energy of P3HT. PCBM segregation close to the substrate is further enhanced by annealing. In case of the films cast on PEDOT:PSS, this stratification could be detrimental for conventional solar cell performance, since the electron-acceptor material enriches the interface with the hole-collecting electrode. The agglomeration of PCBM at the TiOx interface could, however, be favorable for an enhanced charge collection, thus improving device performance. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C33.00013: Atomic and Electronic Structure of the P3HT/PCBM Interface From First-Principle Calculations Longhua Li, Oleg Kontsevoi, Arthur J. Freeman Fundamental research on donor/acceptor (D/A) interfaces of organic photovoltaics (OPV) have drawn immense interest because of their crucial roles in charge separation (CS), charge transfer (CT) and charge recombination (CR). The blend system consisting of regioregular poly(3-hexylthiophene) (rr-P3HT) and fullerene derivative [6,6]-phenyl C$_{61}$ butyric acid methyl ester (PCBM) is a widely investigated binary system. Despite significant efforts that have been done to optimize the OPV, such as the D/A ratio, detailed information on their structure, interfaces, and morphology are far from complete. Additionally, fewer investigations have focused on the elementary charge transfer processes. In this work, such a hetero-interface was carried out by annealing simulation; and then interfacial electronic structure and charge transfer were studied by DFT calculations. The process of PCBM assembly on the P3HT surface were shown and the carrier mobilities could be tuned by PCBM orientations.Our calculations provide an important understanding on the assembly of PCBM and charge transfer at the binary interface. [Preview Abstract] |
Session C34: Thin Films of Block Copolymers and Hybrid Materials: Mechanics and Dynamics
Sponsoring Units: DPOLYChair: Alamgir Karim, University of Akron
Room: 342
Monday, March 18, 2013 2:30PM - 2:42PM |
C34.00001: Structural response of a pre-aligned cylindrical block copolymer to uniaxial extensional flow Erica McCready, Wesley Burghardt In situ small angle x-ray scattering (SAXS) is used to probe structural changes in a cylindrically ordered triblock copolymer melt during uniaxial extensional flow. The sample is a styrene-ethylene butylene-styrene triblock copolymer melt. Sheets of macroscopically oriented polymer were produced using a lubricated planar squeezing flow die, from which sample strips were cut. Uniaxial extensional flow was imposed in the melt state using an SER extensional flow fixture housed in a custom built convection oven that allows x-ray access, to facilitate SAXS measurements of microdomain re-orientation and deformation during stretching and subsequent relaxation. Individual sample strips were cut from the molded sheet to facilitate measurements in which the stretching was imposed either parallel or perpendicular to the pre-alignment axis. Offline measurements of transient extensional rheology were also conducted using the SER fixture in a conventional rotational rheometer, using similar samples in order to explore connections between, and impact of initial orientation state one, both structural and mechanical responses. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C34.00002: Imaging surface mechanical properties of complex polymer thin films using Intermodulation Atomic Force microscopy Daniel Forchheimer, Daniel Platz, David B. Haviland, Erik A. Thol\'en The atomic force microscope (AFM) has been the method of choice to measure surface topography of thin films on the sub-micron scale. In addition to topography, dynamic AFM, where the cantilever is oscillated at its resonance frequency, gives a qualitative image of material heterogeneity by recording the phase lag of the oscillation. As new materials become increasingly more complex, containing multiple components and phases, there is an increased need for more sensitive and also more quantitative characterization polymer surfaces at the nanometer scale. We have developed Intermodulation AFM in which the cantilever is excited at two frequencies close to resonance. By measuring the intermodulation products, or mixing products, of the drive frequencies more information regarding the tip-surface interaction is revealed, providing better material contrast and allowing quantitative reconstruction of the tip-surface force curve. We have applied this method to the study of thin films of polymer blends and block copolymers in which it was possible to quantify material stiffness of the different phases of the material and relate those to quantitative measurements of the pure polymer components. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C34.00003: Morphology and Surface Energy of a Si Containing Semifluorinated Di-block Copolymer Thin Films. Umesh Shrestha, Stephen Clarson, Dvora Perahia The structure and composition of an interface influence stability, adhesiveness and response to external stimuli of thin polymeric films. Incorporation of fluorine affects interfacial energy as well as thermal and chemical stability of the layers. The incompatibility between the fluorinated and non-fluorinated blocks induces segregation that leads to long range correlations where the tendency of the fluorine to migrate to interfaces impacts the surface tension of the films. Concurrently Si in a polymeric backbone enhances the flexibility of polymeric chains. Our previous studies of poly trifluoro propyl methyl siloxane-polystyrene thin films with SiF fraction 0.03-0.5 as a function of temperature have shown that the SiF block drives layering parallel to the surface of the diblock. Here in we report the structure and interfacial energies of SiF-PS in the plane of the films, as a function of the volume fraction of the SiF block obtained from Atomic Force microscopy and contact angle measurement studies. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C34.00004: Elastic Properties of Bilayer Membranes Self-Assembled from Diblock Copolymers Kyle Pastor, Jianfeng Li, An-Chang Shi The elastic properties of bilayer membranes are studied using self-consistent field theory (SCFT). The membranes are formed in a blend of AB diblock copolymers and C-homopolymers which act as the solvent. The free energy of a membrane is determined from the SCFT solutions. Fitting the membrane free energy to a continuum elastic model allows the determination of the bending and Gaussian modulus of the bilayers. More importantly, a comparison of the SCFT free energy and the Helfrich model can be used to determine the limit of the linear elastic model. A threshold curvature, at which the linear elasticity theory breaks down, is used to determine the validity region of the Helfrich model in the parameter space of the system. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C34.00005: Exploring the atom-resolution properties of peptoid nanosheets Ranjan Mannige, Ronald Zuckermann, Stephen Whitelam Peptoids are artificial positional isomers of peptides, where the sidechains are attached to the backbone nitrogen in stead of the alpha carbon. Recently, an amphiphilic peptoid was found to form bilayers (nano-sheets) that expand in area to the mesoscopic level but which display uniform thickness of only between 2 to 3 nanometers. While progress in the chemical synthesis of these sheets have witnessed leaps, an atomistic understanding of peptoid nanosheets is lacking. We report recent developments in the atomistic simulation of assembled peptoid nanosheet candidates which resulted in a configurational energy landscape where only specific arrangements of peptoids are energetically feasible. Additionally, we find that while the charged sidechains situated on the exterior of the bilayer describe the general arrangement of the sheet, the exact positions of each peptoid appears to be dominated primarily by the hydrophobic residues that interact in the interior of the bilayer. These results provide a novel picture of the atomistic features of peptoid nanosheets, which serves as a useful platform for the further and rational development of novel peptoid nanosheets. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C34.00006: 3D TEM Tomography of Bilayer Diblock Copolymer Thin Films Kevin Gotrik, Thomas Lam, Adam Hannon, J. Alexander Liddle, Caroline Ross Being able to control the orientation and direction of block copolymer microdomains is of interest for lithographic applications due to the ability to form sub-10 nm feature sizes. Bilayer diblock copolymer films (42 nm as-cast film thickness) of cylinder forming poly(styrene-b-dimethylsiloxane) (PS-PDMS, 45 kg/mol, Flory-Huggins interaction parameter($\chi )=$0.224 at room temperature) can be precisely controlled by templating arrays of PS functionalized post barriers (15 nm diameter) that are periodically spaced on the order of 30-60 nm. The resulting morphologies are 3D in nature due the ability of the posts to decouple the orientation and direction of the two different layers of cylinders. Self-consistent field theory predicts a range of possible bilayer structures that are similar in energetics and that would appear similar when viewed from the top down as is commonly done with SEM after selectively etching the PS with an oxygen plasma (50W CF$_{4})$. This destructive method of imaging therefore limits the ability to compare between different bilayer morphologies that may be forming. Here we show how 3D TEM tomography can be used as a non-destructive way to image the cylindrical microdomains to determine the block copolymer morphology before etching. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C34.00007: High Resolution Imaging of Polymers Using Stochastic Optical Reconstruction Microscopy (STORM) M.W. Gramlich, J. Bae, R. Hayward, J.L. Ross Recent super-resolution fluorescence imaging techniques represent attractive new methods for structural characterization of polymeric systems. STORM is a technique developed over the last decade to image structure and dynamics in biological systems. The high spatial resolution approaches that of other well-established techniques, such as atomic force microscopy (AFM) or scanning electron microscopy, but with all the advantages of a far-field optical technique. We have adapted STORM imaging techniques to polymeric materials, specifically using thin film blends of polystyrene (PS) and poly(methyl methacrylate) (PMMA) as a model system. We labeled PMMA with Alexa-647 fluorescent dye, and combined 10wt{\%} label to un-labeled PMMA, then prepared 50:50 by weight blends with PS. We find the lateral PMMA domain size increases with film thickness. Furthermore, we show that the structure and size of the domains is equivalent to results from AFM. Funding is acknowledged from NSF MRI grant{\#}DBI-0923318 to Ross and Wadsworth, ``Development of FPALM-STORM for Live Cell Single~Molecule Microscopy''; NSF MRSEC grant {\#}DMR-0820506 to UMass. We would like to acknowledge Rachel Letteri, Brent Hammer, Todd Emrick, Weiyin Gu, and Tom Russell for help with material preparation. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C34.00008: Nano-spectroscopic vibrational chemical imaging of block-copolymer phase behavior Benjamin Pollard, Markus B. Raschke Block copolymers phase-separate to form a wide range of different types of structures on mesoscopic length scales, controlled by relative chain lengths, solvent, and substrate interactions. However, the investigation of their complex phase behavior has remained difficult using traditional scanning-probe techniques due to a lack of the desired nanoscale chemical specificity. Here, we demonstrate the combination of scattering Scanning Near-field Optical Microscopy (\textit{s}-SNOM) with ultrahigh sensitive infrared vibrational spectroscopy to provide compositional mapping on the sub-domain level. Probing the carbonyl resonance in thin films of poly(methylmethacrylate)-b-polystyrene (PMMA:PS) diblock copolymers, we identify distinct local PMMA density distributions and surface terminations comparing micellar and lamellar structures. With this technique we demonstrate an infrared spectroscopic sensitivity as high as a few 100 monomers and 10 nm spatial resolution. We discuss the extension to related soft-matter systems, including self-assembled monolayers and biomaterials. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C34.00009: Tuning the lateral mobility of thin block copolymer films Harry Bermudez, Andreas Kourouklis Polymer mobility in confined environments is of both theoretical and practical interest. The controlled formation and characterization of systems where such effects can be studied remain active areas of investigation. In this work, we created ultrathin ($<$ 50 nm) supported films of amphiphilic polybutylene-poly(ethylene) oxide diblock copolymers, through Langmuir-Blodgett and Langmuir-Schaefer techniques. To adjust the lateral mobility of these ultrathin films, short polyisobutylene homopolymer was introduced during the film assembly process. Preliminary fluorescence recovery after photobleaching (FRAP) results show that the lateral mobility of the block copolymers is proportional to the logarithm of homopolymer concentration. The mobility can be varied by up to a factor of 8 with as little as 1 mol\% of homopolymer. The role of the added homopolymer on the block copolymer lateral mobility is likely to be related with several features such as chain entanglements, interfacial constraints, and interlayer friction. By varying the concentration and the molecular weight of the homopolymer introduced into the films, we attempt to explain the underlying physical mechanisms that are responsible for changes in lateral mobility. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C34.00010: Autophobic dewetting of symmetric diblock copolymer films on ordered lamellae Mark Ilton, Pawel Stasiak, Mark W. Matsen, Kari Dalnoki-Veress Autophobic dewetting is the process in which a material retracts from a substrate of the same material. This has been observed for homopolymer melts on brush layers as well as in diblock copolymer systems. In the case of diblock copolymer films, autophobic dewetting can arise above the bulk order-disorder transition temperature due to a gradient of segregation strength induced by the film interfaces. We have measured the contact angle of autophobically dewetting droplets of symmetric polystyrene-b-poly(2-vinyl pyridine) as a function of temperature and the number of ordered lamellae that form the substrate layer. The contact angle decreases monotonically with both temperature and the number ordered lamellae, which can be understood as a dependence on the degree of order at the substrate interface. We compare our experimental results to a self-consitent field theory calculation which includes the decaying order away from the interfaces. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C34.00011: Experimental diffusion measurements of entangled rod-coil block copolymers Muzhou Wang, Ksenia Timachova, Alfredo Alexander-Katz, Bradley Olsen A fundamental theory for the dynamics of rod-coil block copolymers is important for understanding diffusion, mechanics, and self-assembly kinetics in functional nanostructured materials for organic electronics and biomaterials. Recently our group has proposed a reptation theory for the diffusion of entangled rod-coil block copolymers, showing the slower dynamics of rod-coils is due to the mismatch between the curvature of the rod and coil blocks. Here we present experimental tracer diffusion measurements of model rod-coil diblock and coil-rod-coil triblock copolymers that support this theory. The model systems are composed of poly(ethylene oxide) coils and polyalanine $\alpha $-helical rods synthesized by bacterial expression and bioconjugation, and tracer diffusion in entangled solutions is measured by forced Rayleigh scattering. The experiments support both the activated reptation and arm retraction mechanism for the small and large rod regimes that were previously presented in our theory. Comparison of both simulation and experiments between diblock and triblock copolymers suggests that the diffusion mechanisms are independent of the different symmetry and molecular architecture of the molecules. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C34.00012: Dynamic Processes in Diblock Copolymer Micelles Megan Robertson, Avantika Singh Diblock copolymers, which form micelle structures in selective solvents, offer advantages of robustness and tunability of micelle characteristics as compared to small molecule surfactants. Diblock copolymer micelles in water have been a subject of great interest in drug delivery applications based on their high loading capacity and targeted drug delivery.~ The aim of this work is to understand the dynamic processes which underlie the self-assembly of diblock copolymer micelle systems which have a semi-crystalline core.~ Due to the large size of the molecules, the self-assembly of block copolymer micelles occurs on significantly longer time scales than small molecule analogues. The present work focuses on amphiphilic diblock copolymers containing blocks of poly(ethylene oxide) (a hydrophilic polymer) and polycaprolactone (a hydrophobic, semi-crystalline polymer), which spontaneously self-assemble into spherical micelles in water. A variety of experimental techniques are used to probe the kinetic processes relevant to micelle self-assembly, including time-resolved neutron scattering, dynamic light scattering, pulsed field gradient nuclear magnetic resonance, and fluorescence resonance energy transfer experiments. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C34.00013: Molecular exchange in block copolymer micelles: when corona chains overlap Jie Lu, Timothy Lodge, Frank Bates, SooHyung Choi The chain exchange kinetics of poly(styrene-b-ethylenepropylene) (PS-PEP) diblock copolymer micelles in squalane (C$_{30}$H$_{62}$) was investigated using time-resolved small angle neutron scattering (TR-SANS). The solvent is a mixture of h-squalane and d-squalane that contrast-matches a mixed 50/50 h/d PS micelle core. As isotope labeled chains exchange, the core contrast decreases, leading to a reduction in scattering intensity. This strategy therefore allows direct probing of the chain exchange rate. Separate copolymer micellar solutions containing either deuterium labeled (dPS) or normal (hPS) poly(styrene) core blocks were prepared and mixed at room temperature, below the core glass transition temperature. The samples were heated to several temperatures (around 100 $^{\circ}$C) and monitored by TR-SANS every 5 min. As polymer concentration was increased from 1\% to 15\% by volume, we observed a significant slowing down of chain exchange rate. Similar retarded kinetics was found when part of the solvent in the 1\% solution was replaced by homopolymer PEP (comparable size as corona block). Furthermore, if all the solvent is replaced with PEP, no exchange was detected for up to 3hr at 200 $^{\circ}$C. These results will be discussed in terms of a molecular model for chain exchange [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C34.00014: Direct solvent induced microphase separation, ordering and nano-particles infusion of block copolymer thin films Arvind Modi, Ashutosh Sharma, Alamgir Karim Kinetics of block copolymer (BCP) microphase separation by thermal annealing is often a challenge to low-cost and faster fabrication of devices because of the slow ordering. Towards the objective of rapid processing and accessing desired nanostructures, we are developing methods that enable a high degree of mobility of BCP phases while maintaining phase separation conditions via control of effective interaction parameter between the blocks in BCP thin films. We study the self-assembly of PS-P2VP thin films in various solvent mixtures. While non-solvent prevents dissolution of film into the bulk solution, the good solvent penetrates the film and makes polymer chains mobile. As a result of controlled swelling and mobility of BCP blocks, solvent annealing of pre-cast BCP thin films in liquid mixture of good solvent and non-solvent is a promising method for rapid patterning of nanostructures. Interestingly, we demonstrate simultaneous BCP microphase separation and infusion of gold nano-particles into selective phase offering a wide range of application from plasmonics to nanoelectronics. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C34.00015: Synthesis of Well-Defined Miktoarm Star Copolymer composed of Poly(3-hexylthiophene) and Poly(methyl methacrylate) via combining anionic polymerization and click reaction Jicheol Park, Hong Chul Moon, Jin Kon Kim We synthesized well-defined miktoarm star copolymer composed of regioregular poly(3-hexylthiophene) and poly(methyl methacrylate) ((P3HT)$_2$-$b$-PMMA) by combining anionic polymerization and click reaction. First, we synthesized PMMA terminated with 1,3,5-tris(bromomethy)lbenzene (PMMA-(br)$_2$) by anionic polymerization. Then, the bromide end groups transformed to azide group (PMMA-(N$_3)_2)$. For the synthesis (P3HT)$_2$-$b$-PMMA, click reaction between ethynyl-capped P3HT and PMMA-(N$_3)_{\mathrm{2}}$ was performed. The optical property and thin film morphology of (P3HT)$_2$-$b$-PMMA were investigated by using UV-Vis spectra and atomic force microscopy, respectively. [Preview Abstract] |
Session C35: Superconductivity: Vortices I
Sponsoring Units: DCMPChair: Timir Datta, University of South Carolina
Room: 343
Monday, March 18, 2013 2:30PM - 2:42PM |
C35.00001: Strongly Enhanced Vortex Pinning by Conformal Crystal Arrays Dipanjan Ray, Cynthia Reichhardt, Boldizsar Janko, Charles Reichhardt Conformal crystals are non-uniform structures created by a conformal transformation of regular two-dimensional lattices. We show that gradient-driven vortices interacting with a conformal pinning array exhibit substantially stronger pinning effects over a much larger range of field than found for random or periodic pinning arrangements. The pinning enhancement is partially due to matching of the critical flux gradient with the pinning gradient, but the preservation of the sixfold ordering in the conformally transformed hexagonal lattice plays a crucial role. Our results can be generalized to a wide class of gradient-driven interacting particle systems such as colloids on optical trap arrays. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C35.00002: Vortex Pinning in Superconducting MoGe Films Containing Conformal Arrays of Nanoscale Holes and Magnetic Dots Y.L. Wang, M.L. Latimer, Z.L. Xiao, L.E. Ocola, R. Divan, U. Welp, G.W. Crabtree, W.K. Kwok Recent numerical simulations by Ray et al. predict that a conformal pinning array can produce stronger vortex pinning effect than other pinning structures with an equivalent density of pinning sites [1]. Here we present experimental investigations on conformal pinning structures. Direct and conformal pinning arrays of triangular and square lattices were introduced into MoGe superconducting films using focused-ion-beam milling or electron-beam lithography. Transport measurements on critical currents and magnetoresistances were carried out on these samples to reveal the advantages of conformal pinnings. Effects of random pinnings with the same average density were also studied for comparison. Details on sample fabrications and effects of pinning types (holes versus magnetic dots) will be presented. Reference: [1] D. Ray, C.J. Olson Reichhardt, B. Janko, C. Reichhardt, arXiv:1210.1229 (2012) Work supported by the US DoE-BES funded Energy Frontier Research Center (YLW), and by Department of Energy, Office of Science, Office of Basic Energy Sciences (MLL, ZLX, LEO, RD, UW, WKK), under Contract No. DE-AC02-06CH11357 [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C35.00003: Matching of the Flux Lattice to Geometrically Frustrated Pinning Arrays J. Trastoy, R. Bernard, J. Briatico, J.E. Villegas, J. Lesueur, C. Ulysse, G. Faini We use vortex dynamics on artificial nanoscale energy landscapes as a model to experimentally investigate a problem inspired by ``spin ice'' systems. In particular, we study the matching of the flux lattice to pinning arrays in which the geometrical frustration is expected to impede a unique stable vortex configuration and to promote metastability. This is done with YBCO films in which the nanoscale vortex energy landscape is fabricated via masked ion irradiation. Surprisingly, we found that minimal changes in the distance between pinning sites lead to the suppression of some of the magneto-resistance matching effects, that is, for certain well-defined vortex densities. This effect strongly depends on the temperature. We argue that this behavior can be explained considering the arrays' geometrical frustration and the thermally activated reconfiguration of the vortex lattice between isoenergetic states. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C35.00004: Critical currents, magnetic relaxation and pinning in Nd$Ba_2$$Cu_3$$O_{7-\delta}$ films with BaZr$O_3$ generated columnar defects A.O. Ijaduola, S.H. Wee, A. Goyal, P.M. Martin, J. Li, J.R. Thompson, D.K. Christen The critical current density $J_c$ and the magnetic relaxation (creep) properties have been studied for a set of $NdBa_2Cu_3O_{7-\delta}$(NdBCO) films doped with $BaZrO_3$ (BZO) nanoparticles to form columnar defects. The dependence of $J_c$ on the magnitude and orientation of the applied magnetic field $H_{app}$ (0-6.5 T) and temperature T (5 K-$T_c$) was investigated. The normalized flux-creep rate $S = -d ln(J)/d ln(t)$ was determined as a function of T. The current dependence of the effective activation energy $U_{eff}(J)$ was derived using the formalism developed by Maley. The results are well described by an inverse power law type barrier of the form $U_{eff}(J)\sim U_0(J_0/J)^{\mu}$ with fitted values for the pinning energy scale $U_0$ and the glassy exponent $\mu$. When comparing values for these parameters in the BZO-doped samples with those for their undoped control counterparts, the most striking difference is the larger scale of current density $J_0$ in the doped samples (a factor of 2.4 higher), while the other pinning parameters do not differ strongly. In the BZO-doped materials, the pinning energy scale $U_0$ increases with vortex density and $J_0$ decreases, with both following simple power law dependences on the field. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C35.00005: Polaronic pinning of vortex in magnetic superconductors and magnetic-superconducting multilayers Shi-Zeng Lin, Lev Bulaevskii We present a new type of vortex pinning by enhancing the viscosity of vortex in magnetic superconductors with long relaxation time of magnetization and large magnetic susceptibility. In the absence of current, vortices are dressed by nonuniform magnetic polarization and form vortex-polarons. Under a small current and consequently low Lorentz force, the magnetic polarization follows the vortex motion. However, at long magnetic relaxation time of magnetization, there is additional dragging force by the magnetization besides the Bardeen-Stephen one, thus the effective viscosity of vortex is significantly enhanced resulting in suppression of dissipation. For a large current, the magnetic polarization cannot follow the vortex motion and the vortex-polaron dissociates, i.e. the magnetization and vortex become decoupled. In the IV characteristic, the decoupling transition shows as a voltage jump and can be identified as a depinning transition. The polaronic pinning mechanism successfully explains the observed enhancement of critical current in the ErNiBC superconductor at low temperatures. The polaronic pinning can be optimized in magnetic-superconducting multilayers. We show also that vortex-polaron creep is suppressed at low temperatures. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C35.00006: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 3:42PM - 3:54PM |
C35.00007: Role of twin boundaries on the vortex dynamics in CSD YBCO nanocomposites V. Rouco, A. Palau, M. Coll, R. Guzman, J. Gazquez, S. Ye, A. Llordes, J. Arbiol, S. Ricart, X. Obradors, T. Puig Vortex pinning landscape engineering is foreseen as the route to high performance YBCO coated conductors at high fields. Solution-derived nanocomposites with randomly oriented nanoparticles were shown to be an excellent low cost option with huge isotropic pinning forces associated to a highly dense defect structure. We find that the local strain ensuing from the partial dislocation associated to intergrowths breaks the vertical coherence of twin boundaries (TB) and reduce the TB spacing. The lack of TB coherence will affect their role as pinning centers or channels for easy vortex flow. Transport measurements at different temperatures and magnetic fields realized in tracks with different crystallographic orientations has enabled to determine the effect of TB in a quantitative manner and establish their contribution (pinning and/or channeling) in a H-T diagram. We show that the anisotropic pinning coming from TBs has a minor role compared with the huge enhancement of isotropic pinning in nanocomposites. On the contrary, we demonstrate that the reduction of the TB vertical coherence has a relevant effect on precluding vortex channelling at low temperatures avoiding a J$_{\mathrm{c}}$ suppression for field parallel to the c-axis. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C35.00008: Vortex dynamics in YBCO films with engineered antidots and ferromagnetic Nanostructures A. Palau, V. Rouco, J.C. Gonz\'alez, C. Monton, T. Puig, X. Obradors, R. C\'ordoba, J.M. de Teresa Understanding vortex pinning mechanisms and the interaction between vortices and defects is still one of the major goals to enhance properties of nanostructured superconductors. We have used high resolution lithography techniques (FIB, EBL and C-AFM) to create artificial pinning sites in YBCO films. Model systems with antidots and blind antidots with different geometries, distribution and density have been generated. Moreover, with the aim to study interactions in hybrid superconductor-ferromagnetic systems we have filled the antidots with cobalt rods by focused electron beam induced deposition. In-field critical current measurements have been performed in a wide temperature (T) and magnetic field (H) range in order to study vortex dynamics in these novel systems. As far as YBCO films with blind antidots, collective guided vortex motion is observed when we generate spatial asymmetric (ratchet) pinning potentials. By tuning H and T, the vortices undertake the single vortex pinning to vortex-vortex interaction transition which determines the region where the ratchet effect is activated. As far as YBCO films with ferromagnetic rods, we demonstrate a clear interaction between the magnetic field generated by the cobalt nano-rods and the superconducting matrix. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C35.00009: Non-equlibrium relaxation of vortex lines in disordered type-II superconductors Ulrich Dobramysl, Hiba Assi, Michel Pleimling, Uwe C. T\"{a}uber Vortex matter in disordered type-II superconductors display a remarkable wealth of behavior, ranging from hexagonally arranged crystals and a vortex liquid to glassy phases. The type and strength of the disorder has a profound influence on the structural properties of the vortex matter: Randomly distributed weak point pinning sites lead to the destruction of long range order and a Bragg glass phase; correlated, columnar disorder can yield a Bose glass phase with infinite tilt modulus. We employ a three-dimensional elastic line model and apply a Langevin molecular dynamics algorithm to simulate the dynamics of vortex lines in a dissipative medium. We investigate the relaxation of a system of lines that were initially prepared in an out-of-equilibrium state and characterize the transient behavior via two-time quantities. We vary the disorder type and strength and compare our results for random and columnar disorder. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C35.00010: Large Thermal Fluctuation effects on Vortex Matter in Iron Arsenide Superconductors Ca$_{10}$(Pt$_{3}$As$_{8})$(Fe$_{2}$As$_{2})_{5}$ and Ca$_{10}$(Pt$_{4}$As$_{8})$(Fe$_{2}$As$_{2})_{5}$ Oscar Ayala-Valenzuela, Jeehoon Kim, Laonardo Civale At finite temperatures, thermal fluctuations (TF) may allow vortices in a superconductor to jump from one pinning center to another in response to the driving force of the current, even if the current density is lower than the critical current density ($J_{c})$. This effect, known as flux creep, is orders of magnitude larger in cuprate HTS than in conventional low critical temperature ($T_{c})$ materials, mainly due to the much smaller coherence length and large anisotropy. TF in cuprates also produce melting of the vortex lattice and the appearance of vortex liquid phases (VLP), characterized by $J_{c}=$0, near $T_{c}$. In general iron-based superconductors (FeSC) also exhibit large vortex fluctuation effects, with creep rates as large as or even larger than cuprates, in spite of the lower $T_{c}$. VLP are observed in many of FeSC; their extension and characteristics are topics of extensive current research. We have explored vortex fluctuation effects in single-crystals of the novel FeSC Ca$_{10}$(Pt$_{3}$As$_{8})$(Fe$_{2}$As$_{2})_{5}$ and Ca$_{10}$(Pt$_{4}$As$_{8})$(Fe$_{2}$As$_{2})_{5}$ by measuring the magnetization and its time decay in a SQUID magnetometer. We found creep rates even higher than in YBCO. We also observed extensive VLP (characterized by a reversible magnetization) that cover most of the mixed state region in the H-T phase diagram, as well as superconducting fluctuations above $T_{c}$. These unusually strong fluctuations are a consequence of the very large penetration depth $\lambda $, which results in Ginzburg numbers ($G_{i})$ higher than in cuprates. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C35.00011: Flux-quantum-discretized dynamics of intermediate state flux structures in current-driven type-I superconductors G.R. Berdiyorov, A.D. Hernandez-Nieves, M.V. Milosevic, F.M. Peeters, D. Dominguez Nonlinear flux dynamics in a current-carrying type-I superconductor is studied using Ginzburg-Landau theory. The current induces the intermediate state, where nucleation of flux domains is \textit{discretized to a single fluxoid at a time}, while their final shape (tubular or laminar) and size depend on applied current. The current induces opposite flux domains on opposite edges, and subsequently drives them to annihilation---which is \textit{also discretized}, as a sequence of vortex-antivortex pairs. In the presence of pinning centers, both pinning and depinning processes occur in a single flux-discretized form regardless of the shape and size of the flux configurations. Repulsive centers (i.e., obstacles) can results in splitting of tubular domains and branching of laminar structures or transformation of them into tubular patterns. The discretization of nucleation and annihilation, as well as pinning/depinning processes leaves measurable traces in the voltage across the sample and in locally probed magnetization. The reported dynamic phenomena thus provide an unambiguous proof of a flux quantum being the smallest building block of the intermediate state in type-I superconductors. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C35.00012: Comparison of local electrodynamic responses of superconducting materials--from bulk Nb to MgB$_2$ and Nb thin films Tamin Tai, Behnood Ghamsari, Teng Tan, Xiaoxing Xi, Steven Anlage A near-field magnetic field microwave microscope that enables mapping of the local electrodynamic response in the GHz frequency regime at liquid helium cryogenic temperatures was successful built using the combination of a magnetic writer and a near field-microwave microscope [1]. Many superconducting materials, especially the candidate materials for superconducting RF cavities, were tested at a fixed location to analyze the local electromagnetic response, including both the intrinsic and extrinsic nonlinearities. The bulk Nb materials only show extrinsic nonlinearity, consistent with vortex generation and annihilation in the material. The measurements on Nb and MgB$_{2}$ thin film materials shows not only the extrinsic nonlinearity due to the vortex mechanism, but also intrinsic nonlinearity. The intrinsic nonlinearity comes from the modulation of the superconducting order parameter near T$_{\mathrm{c}}$, but behaves differently for single band gap (Nb) and two-gap (MgB$_{2})$ superconductors. Quantitatively analyzing the nonlinear mechanisms will enable the microscope to extract many material parameters and image the superconducting properties by raster scanning. \\[4pt] [1] Tamin Tai, \textit{et al}., IEEE Trans. Appl. Supercond. \underline {21}, 2615 (2011). [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C35.00013: Lower critical magnetic field for a 2-D superconductor in a non-uniform field John Draskovic, Thomas Lemberger Our exploration of nonlinear effects in two-coil measurements of superfluid density in thin superconducting films led us to calculate the lower critical field of infinite-radius, thin superconducting films in the non-uniform field of a nearby coil powered by an external current supply. We obtain an expression for the Helmholtz free-energy of vortices and antivortices added to the vortex-free Meissner state, and for the work done by the current supply when vortices appear. From these quantities we construct the appropriate ``Gibbs'' free energy $\Delta G$ to minimize. We find that $\Delta G$ of a vortex-bearing state dips below that of the Meissner state when the applied magnetic field exceeds: $B_0^* \approx \frac{8\sqrt{2}\Lambda}{R}B_{c1}^{2D}$ where the intrinsic 2D lower critical field is defined as: $B_{c1}^{2D} \equiv \frac{\Phi_0}{4 \pi \Lambda^2}ln \left (\frac{\Lambda}{\xi}\right )$. Here, $\Lambda$ is the 2-D penetration depth, $2\lambda^2/t$, and $t$ is film thickness. We show that in amorphous MoGe films, this theoretical field is much smaller than the experimental field where vortices become evident in the data. Experimentally, the onset of vortex physics is close to the point where the Meissner screening supercurrent density approaches its theoretical maximum value. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C35.00014: Nature of the Cuprate Pseudogap State in the Presence of High Magnetic Fields Peter Scherpelz, Dan Wulin, Kathryn Levin, Attipat Rajagopal We address the important question of how to characterize the pseudogap state of superconductors under the influence of magnetic fields strong enough to lead to vortex lattices in the condensate. Here we adopt a preformed pair interpretation of the pseudogap in which non-condensed pairs (arising from a stronger-than-BCS attraction) are present above and below $T_c$. We use a simple extension of Gor'kov theory to arrive at a formalism for treating BCS-to-BEC crossover physics. We thereby demonstrate how these pairs organize above the transition $T_c$ into precursors of a vortex configuration via small distortions of the superconducting vortex state. We believe this provides a possible scenario for a normal state ``pseudo''-vortex state which has been the object of discussion in the literature. Because their dispersion is no longer effectively one-dimensional, this precursor vortex configuration appears to enable otherwise problematic ``Bose condensation'' in a field. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C35.00015: ABSTRACT WITHDRAWN |
Session C36: HTSC: Optical Probe of Competing Orders
Sponsoring Units: DCMPChair: Xiao-Jia Chen, Carnegie Institution of Washington
Room: 344
Monday, March 18, 2013 2:30PM - 2:42PM |
C36.00001: Pump-probe reflectivity study of competing orders in the electron doped cuprate superconductor Nd$_{2-x}$Ce$_{x}$CuO$_{4+?}$ J.P. Hinton, J.D. Koralek, G. Yu, E.M. Motoyama, Y.M. Lu, A. Vishwanath, M. Greven, J. Orenstein We study the electron doped cuprate superconductor Nd$_{2-x}$Ce$_{x}$CuO$_{4+?}$ using optical pump-probe spectroscopy over a range of dopings including both superconducting and underdoped antiferromagnetic samples. We focus on the pseudogap (PG) response, which is observed over the entire doping range, and its interaction with superconductivity (SC). The PG response onsets below values of $T^*$ consistent with other probes, and its time dependence exhibits scaling consistent with critical fluctuations in samples near optimal doping. Furthermore, we observe laser fluence-dependent interaction between the PG and SC responses below $T_c$, indicative of a repulsive interaction between superconductivity and another fluctuating order. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C36.00002: Fluctuating charge density waves in a cuprate superconductor Fahad Mahmood, Darius Torchinsky, Anthony Bollinger, Ivan Bozovic, Nuh Gedik Cuprate compounds that host high-temperature superconductivity also exhibit various forms of charge and/or spin ordering whose role in the complex cuprate phase diagram is not fully understood. Static charge-density wave (CDW) ordering has been detected so far by diffraction probes only for special doping or in an applied external field. However, dynamic (fluctuating) CDWs may also be present more broadly while being difficult to detect by conventional techniques. To observe and characterize fluctuating CDWs in cuprates, and determine whether they favor or compete with HTS, is thus an important open problem. Here, we present a new method, based on ultrafast spectroscopy, to detect the presence and measure the lifetime of CDW fluctuations in cuprates. In an underdoped La$_{1.9}$Sr$_{0.1}$CuO$_{4}$ film (Tc $=$ 26 K), we observe collective excitations of CDW that persist up to 100 K. This CDW is dynamic; it fluctuates with a characteristic lifetime of 2 ps at T $=$ 5 K which decreases to 0.5 ps at T $=$ 100 K. In contrast, in an optimally doped La$_{1.84}$Sr$_{0.16}$CuO$_{4}$ film (Tc $=$ 38.5 K), we see no signatures of fluctuating CDW at any temperature, favoring the competition scenario. This work opens a path towards a broad study of fluctuating order parameters in various superconductors and other materials. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C36.00003: Modified electron-boson coupling in Bi$_2$Sr$_2$CaCu$_2$O$_{8+\delta}$ nanocrystals Luke Sandilands, Zhijun Xu, Alina Yang, Genda Gu, Tor Pedersen, Ferenc Borondics, Kenneth Burch The coupling between electrons and bosons is thought to underlie a variety of unusual behavior in the cuprates. Here we present optical evidence that the electron-boson coupling is strongly modified in mechanically-exfoliated Bi$_2$Sr$_2$CaCu$_2$O$_{8+\delta}$ nanocrystals. Through an extended Drude analysis of the mid-infrared optical conductivity, we demonstrate that the electron-boson spectral function is blue-shifted and enhanced in thin Bi$_2$Sr$_2$CaCu$_2$O$_{8+\delta}$ nanocrystals. Taken together with complementary Raman and Laue diffraction data, our results provide further evidence that the bosons relevant to the electrodynamics of the normal state are magnetic. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C36.00004: Effect of out-of-plane disorder on electronic Raman spectra of Bi2212 single crystals Takahiko Masui, Naoki Murai, Motoyuki Ishikado, Shigeyuki Ishida, Hiroshi Eisaki, Shin-ichi Uchida, Setsuko Tajima Out-of plane disorder in cuprate superconductor is known to suppress Tc without serious increase of residual scattering of conduction carriers. This is quite advantageous for spectroscopic measurements. In this study we have measured electronic Raman spectra of optimally-doped Bi2212 single crystals with different degree of out-of plane disorders. In the superconducting state, the B1g electronic Raman spectra, which detect maximum of d-wave superconducting gap, show coherence peaks. Interestingly, the peak energies are independent of Tc for lower Tc samples. On the other hand, the peak position for a higher Tc sample shifts to higher energy. This is clear contrast with the B2g electronic Raman spectra, which detect nodal region of the superconducting gap. The strange polarization dependence of superconducting Raman spectra could be a clue to understand the doping dependence of the superconducting Raman responses in cuprates. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C36.00005: Optical scattering rate and effective mass throughout the phase diagram of Bi$_2$Sr$_{2-x}$La$_x$CuO$_6$ R.P.S.M. Lobo, Y.M. Dai, H.H. Wen, P. Cheng, H.Q. Luo, B. Xu, X.G. Qiu We determined the optical conductivity of Bi$_2$Sr$_{2-x}$La$_x$CuO$_6$ at dopings covering the phase diagram from the underdoped to the overdoped regimes. The frequency dependent scattering rate shows a pseudogap extending into the overdoped regime. We found that the effective mass enhancement calculated from the optical conductivity is constant throughout the phase diagram. Conversely, the effective optical charge density varies almost linearly with doping. Our results suggest that the low frequency electrodynamics of Bi$_2$Sr$_{2-x}$La$_x$CuO$_6$ is not strongly affected by the long range Mott transition. [Y.M. Dai \textit{et al.}, Phys. Rev. B \textbf{85}, 092504 (2012)]. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C36.00006: Microwave conductivity survey of YBa$_2$Cu$_3$O$_{6+x}$: from underdoped to overdoped Jordan Baglo, James Day, Pinder Dosanjh, Ruixing Liang, Walter Hardy, Doug Bonn Recent experimental results and theoretical proposals suggest significant changes in the electronic structure of the high-$T_c$ cuprate superconductors as one approaches optimal doping, including Fermi surface reconstruction associated with proposed electronic ordering transitions. As sensitive probes of the low-energy electrodynamics, microwave surface resistance and penetration depth measurements - from which the real and imaginary parts of the microwave conductivity may be extracted - are well-suited for investigating such changes in the electronic structure of the cuprates across their phase diagram. Here we present preliminary results of a detailed and systematic doping dependence study of the microwave conductivity of the cuprate superconductor YBa$_2$Cu$_3$O$_{6+x}$ from the underdoped to the overdoped regime. The implications of these results for various proposed scenarios will be discussed. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C36.00007: Disentangling the in- and out-of-plane components of the microwave surface resistance in Tl-2201 S. Mahyad Aghigh, James Day, Jordan Baglo, Darren Peets, Ludivine Chauviere, Pinder Dosanjh, Ruixing Liang, Walter Hardy, Doug Bonn Investigation of Tl$_{2}$Ba$_{2}$Cu$_{1}$O$_{x}$ (Tl-2201) properties is important as it provides access to the overdoped side of the superconducting dome. We are measuring the surface resistance of Tl-2201, R$_{s}$($\omega$,T), using a bolometric technique well established by our group. Experimentally separating the in- and out-of-plane components of R$_{s}$ for Tl-2201, however, is challenging due to demagnetization effects. To account for this complication, we are measuring R$_{s}$ of an isotropic replica sample of NbZr in two specific orientations where the field is parallel and perpendicular to the crystal plane. In this talk I will describe the modified bolometric technique, share the technical difficulties encountered in preparing the replica, and present the most up-to-date results. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C36.00008: Ion-size effects in HTS cuprates - superfluid density and energy gaps Benjamin Mallett, Christian Bernhard, Thomas Wolf, Edi Gilioli, Jeff Tallon The demonstrated central role that ion size plays in determining $T_c$ in the HTS cuprates needs to be further explored in order to determine whether the demonstrated systematic behaviour plays out in other superconducting properties. After all, $T_c$ can be diminished simply by disorder effects. What is the effect of systematic ion-size variation on the superfluid density and superconducting energy gap? And can these effects be used to infer details concerning the pairing mechanism? To address these issues we report the effect of changing ion size on muon spin relaxation measurements of the superfluid density and Raman measurements of the superconducting gap in the model system RA$_2$Cu$_3$O$_y$ (where R = La,..., Lu and A=Ba$_{2-x}$Sr$_x$). The electronic density of states is determined from the effect of Zn substitution in this system and we are able to discount disorder scattering as the source of the systematic changes in superconducting properties. Our results confirm a picture where the polarizability of the charge-reservoir layer plays a key role in setting the energy scale for pairing in this system. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C36.00009: New insights into the phase diagram of the copper oxide superconductors from electronic Raman scattering Alain Sacuto, Siham Benhabib, Yann Gallais, Maximilien cazayous, Marie-Aude Measson, Sebastien Blanc, Genda Gu, Dorothee Colson We explore the cuprate phase diagram by electronic Raman spectroscopy and shed light on the superconducting state in hole doped cuprates. Namely, how superconductivity is impacted by the pseudogap. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C36.00010: Ion-size effects in HTS cuprates -- dielectric versus magnetic pairing Jeffery Tallon, Ben Mallett, Neil Ashcroft We have been exploring the systematic effects of changing ion size on superconducting and normal-state properties of the HTS cuprates. In the model system RA$_{2}$Cu$_{3}$O$_{\mathrm{y}}$ (where R $=$ La,\textellipsis , Lu and A$=$Ba$_{\mathrm{2-x}}$Sr$_{\mathrm{x}})$ the maximum T$_{\mathrm{c}}$ in the dome-shaped phase curve can be shifted from 70 to 110 K in the model system. Surprisingly T$_{\mathrm{c}}$ correlates with the dielectric properties and not the magnetic properties. This highlights the fundamental importance of charge fluctuation and dielectric screening in the cuprates and may signal a novel pairing mechanism having its origin with quantized waves of electronic polarization. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C36.00011: Berry phase mechanism for polarization rotation in chiral metals Joseph Orenstein, Joel Moore There is currently considerable interest in inversion symmetry breaking in unconventional metals, particularly in chiral stacking of atomic layers with stripe-like charge density modulation in systems such as TiSe2 and the cuprate superconductor LBCO. A signature of broken chiral symmetry is optical gyrotropy, that is, different indices of refraction for left and right circular polarized light. In this talk we show that intraband gyrotropic response is a consequence of the anomalous velocity associated with the non-zero Berry curvature and we derive its strength for a model band structure as a function of the chiral order parameter. This work demonstrates that optical gyrotropy in chiral metals is the linear response counterpart to the anomalous Hall effect in time-reversal breaking metals. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C36.00012: Faraday and Kerr Effect Measurements of Cuprates in THz Regime Y. Lubashevsky, LiDong Pan, T. Kirzhner, G. Koren, N.P. Armitage Recent results using a laser-based zero-area loop Sagnac interferometer have found a small but significant spontaneous Kerr rotation [1] suggesting an emergent chiral or time-reversal broken character to the pseudogap regime in the cuprate superconductors. In this work, we have performed high resolution THz polarimetry to measure the low frequency Faraday and Kerr rotation in these compounds. The THz range gives an advantage for these studies as it presumably corresponds more closely to frequency scales relevant for the pseudogap (1 THz - 48 K). We have found that low frequencies enhances the signal into the tens of milli-radians range. Moreover, time-domain THz spectroscopy reveals more information about this phenomena as frequency dependent and birefringent effects among others can be measured. Results from YBCO and LBCO will be presented. \\[4pt] [1] J. Xia etal, Phys. Rev. Lett. 100, 127002 (2008). [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C36.00013: Elastic moduli across the superconducting and pseudogap phase boundaries in four cuprate compounds Brad Ramshaw, Arkady Shekhter, Jon Betts, Albert Migliori A detailed understanding of the physics of the cuprate superconductors relies on an experimental determination of the thermodynamic phase diagram. Resonant ultrasound spectroscopy (RUS) is a unique thermodynamic probe, capable of measuring part per million changes in elastic moduli, and has access to symmetry information. Here we present a symmetry analysis of changes in the elastic moduli across the superconducting and psedogap phase boundaries in several classes of cuprates: YBCO, LSCO, Hg-1201, and Tl-2201. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C36.00014: Quantum Fluctuations of Superconductivity in Critically Underdoped La$_{2-x}$Sr$_x$CuO$_4$ N.P. Armitage, Y. Lubashevsky, L.S. Bilbro, R.V. Aguilar, G. Logvenov, I. Bozovic In the underdoped pseudogap regime of the high-temperature superconductors, one expects that due to low superfluid densities and short correlation lengths, superconducting fluctuations will be very significant for transport and thermodynamic properties. We have used THz time-domain spectroscopy (TTDS) to probe the fluctuations of superconductivity in extremely underdoped La$_{2-x}$Sr$_x$CuO$_4$ films close to and beyond the superconducting quantum critical point. On the approach to the transition from above, we find a significant range of quantum superconducting fluctuations that we quantify through a comparison of the low and high frequency phase stiffnesses. An explicit measure of these fluctuations through the quantity we propose as a ``quantum Debye-Waller factor'' shows that these fluctuations diverge and drive the transition. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C36.00015: Feedback effect on high-energy magnetic excitations in the model high-temperature superconductor {HgBa$_2$CuO$_{4+\delta}$} Yuan Li, M. Le Tacon, M. Bakr, D. Terrade, D. Manske, R. Hackl, L. Ji, M.K. Chan, N. Barisic, X. Zhao, M. Greven, B. Keimer Magnetic excitations might play an important role in the superconducting mechanism in the cuprates. Their contribution below $\sim$60 meV is manifested by the generic neutron ``resonance'' feature, which signifies a feedback effect of pairing on the magnetic excitations. However, the spectral weight of the resonance is insufficient to explain the high superconducting temperature ($T_c$). Recent research has demonstrated that intense magnetic excitations exist above 100 meV up to high doping, but it remains unknown whether and how these excitations participate in the pairing mechanism. Here we present a systematic electronic Raman scattering study of the model cuprate superconductor HgBa$_2$CuO$_{4+\delta}$. In an overdoped sample upon cooling below $T_c$, we observe a pronounced enhancement of a high-energy peak related to two-magnon excitations in insulating cuprates, which is accompanied by the opening of the superconducting gap and can be understood as a high-energy feedback effect that implies a direct involvement of high-energy magnetic excitations in the Cooper pairing. The effect occurs already above $T_c$ in two underdoped samples, demonstrating a related feedback mechanism associated with the pseudogap. [Preview Abstract] |
Session C37: Focus Session: Fe-based Superconductors: DFT and DMFT
Sponsoring Units: DMP DCOMPChair: Wei Ku, Brookhaven National Laboratory
Room: 345/346
Monday, March 18, 2013 2:30PM - 2:42PM |
C37.00001: First principles investigation of Sr and P doping of CaFe$_2$As$_2$ Harald O. Jeschke, Milan Tomic, Roser Valenti The doping-temperature-pressure phase diagrams of the 122 family of superconductors have been discussed intensively due to electronic nematicity above the structural and superconducting transition and the complex coupling between electronic and lattice degrees of freedom. We employ density functional theory to determine the structure of supercells of Ca$_{1-x}$Sr$_x$Fe$_2$As$_2$ and CaFe$_2$(As$_{1-x}$P$_x$)$_2$. We then predict structural transitions of the doped compounds under pressure and compare to the parent compound. We carefully analyze the changes in the electronic structure caused by doping and stress. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C37.00002: Effect of uniaxial stress on structural and electronic properties of BaFe$_2$As$_2$ and CaFe$_2$As$_2$ Milan Tomic, Harald O. Jeschke, Roser Valenti We investigate the effects of the uniaxial tensile and compressive stresses applied along {\bf a}, {\bf b} and {\bf a}+{\bf b} directions in BaFe$_2$As$_2$ and CaFe$_2$As$_2$ in the framework of ab initio density functional theory calculations. While the systems remain in the orthorhombic phase at moderate pressures, we observe an inversion of magnetism at a critical strain happening when the {\bf a} and {\bf b} axes approach the tetragonal condition. We discuss our results in view of recent reports of modified magnetic and structural transitions in BaFe$_2$As$_2$ under externally applied uniaxial strain and make a connection to phenomenological models proposed for these transitions. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C37.00003: Electronic band structure of BaCo$_{2}$As$_2$: a fully-doped ferropnictide with reduced electronic correlations Pierre Richard, N. Xu, A. van Roekeghem, P. Zhang, H. Miao, W.-L. Zhang, T. Qian, M. Ferrero, A. S. Sefat, S. Biermann, H. Ding We report an angle-resolved photoemission spectroscopy investigation of the Fermi surface and electronic band structure of BaCo$_{2}$As$_2$. Although its quasi-nesting-free Fermi surface differs drastically from that of its Fe-pnictide cousins, we show that the BaCo$_{2}$As$_2$ system can be used as an approximation to the bare unoccupied band structure of the related BaFe$_{2-x}$Co$_x$As$_2$ and Ba$_{1-x}$K$_x$Fe$_2$As$_2$ compounds. However, our experimental results, in agreement with dynamical mean field theory calculations, indicate that electronic correlations are much less important in BaCo$_{2}$As$_2$ than in the ferropnictides. Our findings suggest that this effect is due to the increased filling of the electronic 3$d$ shell in the presence of significant Hund's exchange coupling. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C37.00004: First-principle studies of electronic structure and magnetic excitations in FeSe monolayer Timur Bazhirov, Marvin L. Cohen Recent experimental advances made it possible to study single-layered superconducting systems of iron-based compounds. The results show evidence of significant enhancement of superconducting properties compared to the bulk case. We use first-principle pseudopotential density functional theory techniques and the local spin-density approximation to study the electronic properties of an FeSe monolayer in different spin configurations. The results show that the experimental shape of the Fermi surface is best described by a checkerboard antiferromagnetic (AFM) spin arrangement. To explore the underlying pairing mechanism, we study the evolution of the non-magnetic to the AFM-ordered structures under constrained magnetization, and we estimate the electronic coupling to magnetic excitations involving transfer and increase of iron magnetic moments and compare it to the electron-phonon coupling. Finally, we simulate the substrate-induced interaction by using uniform charge doping and show that the latter can lead to an increase in the density of states at the Fermi level and possibly produce higher superconducting transition temperatures. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C37.00005: Glide symmetry of iron-based superconductors: Electronic structure and superconductivity Chung-Pin Chou, Chia-Hui Lin, Wei Ku The alternating positioning of As/Se atoms above and below the Fe plane is known to alter the in-plane translational symmetry of Fe-based high-temperature superconductors, into a glide symmetry: translation followed by z-inversion. The proper descriptions of this symmetry and its consequences on the electronic structure and superconductivity have become a focus of recent intense theoretical studies [1-3]. In this talk, we will explicitly incorporate the glide symmetry in our first-principles studies of electronic structure, and discuss its consequence in superconductivity and other correlation in this class of materials. [1] Chia-Hui Lin, et al., Phys. Rev. Lett. 107, 257001 (2011). [2] Jiangping Hu and Ningning Hao, Phys. Rev. X 2, 021009 (2012). [3] M. Khodas and A. V. Chubukov, Phys. Rev. Lett. 108, 247003 (2012). [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C37.00006: Density functional study on anisotropic magnetic exchange couplings in iron-based superconductors Hyungju Oh, Hyoung Joon Choi Iron-based superconductors exhibit many different antiferromagnetically ordered ground states. An understanding of the magnetic exchange couplings and ground-state Hamiltonian in the parent compounds of these materials is important because such an information could be the basis to unveil the mechanism of unconventional superconductivity. By performing first-principles calculations of the electronic and magnetic properties with non-collinear spin configurations, we study the anisotropic magnetic exchange couplings in iron-based superconductors. We obtain magnetic excitations using the anisotropic magnetic exchange couplings, and compare the results with published inelastic neutron scattering data. This work was supported by the NRF of Korea (Grant No. 2011-0018306). Computational resources have been provided by KISTI Supercomputing Center (Project No. KSC-2012-C2-14). [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 4:18PM |
C37.00007: Impact of Hund's rule on the physics of the Fe-based superconductors Invited Speaker: Zhiping Yin The Hund's rule coupling J$_H$, as opposed to the Coulomb interaction (Hubbard) U, plays a dominating role in the Fe-based superconductors. The strong Hund's rule coupling combined with the multi-orbital nature and special valence of the Fe $3d$ shell, as well as the small crystal fields from the surroundings of an Fe atom, lead to many experimental consequences. In this talk, I will discuss the insights from first-principles calculations based on a combination of density functional theory and dynamical mean field theory. I will demonstrate the observable effects of \textit{Hundsness} on transport, optical conductivity, X-ray spectroscopy, angle-resolved photoemission spectroscopy, spin/magnetic excitations, and so on.\\[4pt] References:\\[0pt] [1] Z. P. Yin, K. Haule, and G. Kotliar,\textit{Nat. Mater.} {\bf 10}, 932-935 (2011).\\[0pt] [2] Z.P. Yin, K. Haule, and G. Kotliar,\textit{Nat. Phys.} {\bf 7}, 294-297 (2011).\\[0pt] [3] Z.P. Yin, K. Haule, and G. Kotliar, arXiv:1206.0801.\\[0pt] [4] Z.P. Yin, K. Haule, and G. Kotliar, unpublished.\\[0pt] [5] K. Haule and G. Kotliar,\textit{New J. Phys.} {\bf 11}, 025021 (2009).\\[0pt] [6] A. Kutepov, K. Haule, S. Y. Savrasov, and G. Kotliar, \textit{Phys. Rev. B} {\bf 82}, 045105 (2010).\\[0pt] [7] H. Park, K. Haule, and G. Kotliar, \textit{Phys. Rev. Lett.} {\bf107}, 137007 (2011). [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C37.00008: Many-body effects in iron pnictides and chalcogenides -- non-local vs dynamic origin of effective masses Jan M. Tomczak, Mark van Schilfgaarde, Gabriel Kotliar We apply the quasi-particle self-consistent GW (QS{\it GW}) approximation to some of the iron pnictide and chalcogenide superconductors. We compute Fermi surfaces and density of states, and find excellent agreement with experiment, substantially improving over standard band-structure methods. Analyzing the QS{\it GW} self-energy we discuss non-local and dynamic contributions to effective masses. We present evidence that these two contributions are mostly separable. Indeed the quasi-particle weight is found to be essentially independent of momentum. The main effect of non-locality is captured by the static but non-local QSGW effective potential. Moreover, these non-local self-energy corrections, absent in e.g.\ dynamical mean field theory (DMFT), can be relatively large. We show, on the other hand, that QS{\it GW} only partially accounts for dynamic renormalizations at low energies. These findings suggest that QS{\it GW} combined with DMFT will capture most of the many-body physics in the iron pnictides and chalcogenides.\\[4pt] Reference: Jan M. Tomczak, M. van Schilfgaarde, G. Kotliar, PRL accepted, preprint: arXiv:1209.2213 [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C37.00009: Effect of Pressure on the Electronic Structure and Optical Properties of FeSe: A DFT+DMFT Study Subhasish Mandal, R.E. Cohen, K. Haule Superconductivity in the iron-chalcogenide superconductors is extremely sensitive to pressure; $T_c$ increases from 8 K to 37 K upon applying pressure[1]. In order to better understand the sensitivity of $T_c$ to pressure in FeSe we have performed fully self-consistent Density Functional Theory - Dynamical Mean Field Theory (DMFT) (DFT-DMFT) computations with a continuous quantum Monte Carlo impurity solver as a function of compression and temperature. Using analytic continuation, we have computed spectral functions, Fermi surfaces, and the optical properties for comparison with experiments. Our preliminary results, obtained at room temperature show a $\sim$ 43\% reduction of the dc conductivity upon increasing the pressure from 0 to 2.6 GPa.\\[4pt] [1] S. Medvedev {\it et al.} Nat. Mater. {\bf 8}, 630 (2009). [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C37.00010: Fermi surface topology of LaFePO, LiFeP and LiFeAs Roser Valenti, Johannes Ferber, Harald O. Jeschke We performed charge self-consistent LDA+DMFT (density functional theory combined with dynamical mean field theory) calculations to study correlation effects on the Fermi surfaces of the iron pnictide superconductors LaFePO, LiFeP an LiFeAs. We find a distinctive change in the topology of the Fermi surface in LaFePO and LiFeP where a hole pocket with Fe dz$^2$ orbital character changes its geometry from a closed shape in LDA to an open shape upon inclusion of correlations. In LiFeAs correlations influence mostly the shape of the hole pockets. We discuss our results in the context of angle-resolved photoemission spectroscopy and de Haas van Alphen observations. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C37.00011: Development of a two-particle self-consistent method for multi-orbital systems and its application to unconventional superconductors Hideyuki Miyahara, Ryotaro Arita, Hiroaki Ikeda We extend the two-particle self-consistent method proposed by Vilk and Tremblay [1] to multi-orbital systems. Starting with the sum rules for the spin and charge susceptibilities, we derive self-consistent equations to determine the renormalized effective interactions. We apply this method to LaFeAsO. In the former, we study the two-orbital model for the La$_{2-x}$(Sr/Ba)$_x$CuO$_4$ system. FLEX underestimated the pairing instability for it.[2] We show that, in our TPSC, the inter-orbital scattering enhances the d-wave instability. In the latter, we investigate a five-orbital d-model for LaFeAsO. This model has been extensively studied by RPA [3]. There, it has been shown that strong spin fluctuation mediates the s$_{+-}$ superconductivity. On the other hand, it has been pointed out that vertex corrections can enhance orbital fluctuations, which mediate s$_{++}$ superconductivity [4]. Finally, we show that orbital fluctuations can be enhanced in TPSC, while the dominant pairing symmetry is still s$_{+-}$ superconductivity when the system resides.\\[4pt] [1] Y.M. Vilk, A.-M.S. Tremblay, J. Phys. I France 7, 13091368.\\[0pt] [2] H. Sakakibara, et al, Phys. Rev. Lett. 105, 057003.\\[0pt] [3] K. Kuroki, et al, Phys. Rev. Lett. 101, 087004.\\[0pt] [4] H. Kontani and S. Onari, Phys. Rev. Lett. 104, 157001. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C37.00012: Impact of Dynamic Orbital Correlations on Magnetic Excitations in the Normal State of Iron-Based Superconductors Wei-Cheng Lee, Weicheng Lv, John Tranquada, Philip Phillips We show here that orbital degrees of freedom produce a distinct signature in the magnetic excitation spectrum of iron-based superconductors above the magnetic ordering temperature. Because d$_{\mathrm{xz}}$ and d$_{\mathrm{yz}}$ orbitals are strongly connected with Fermi surface topology, the nature of magnetic excitations can be modified significantly due to the presence of either static or fluctuating orbital correlations. Within a five-orbital itinerant model, we show that static orbital order generally leads to an enhancement of commensurate magnetic excitations even when the original Fermi surface lacks nesting at commensurate wavevectors. When long-range orbital order is absent, Gaussian fluctuations beyond the standard random-phase approximation capture the effects of fluctuating orbital correlations on the magnetic excitations. We find that commensurate magnetic excitations can also be enhanced if the orbital correlations are strong. We propose that this unusual incommensurate-to-commensurate transformation is an important signature to distinguish orbital from spin physics in the normal state of iron-based superconductors. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C37.00013: Orbital differentiation and the role of orbital ordering in the magnetic state of Fe superconductors Elena Bascones, Belen Valenzuela, Maria J. Calderon There is increasing evidence for orbital differentiation and a possible coexistence of itinerant and localized electrons in Fe superconductors. In order to shed light on the role of the different orbitals on the magnetic state of these superconductors we analyze the metallic $(\pi,0)$ antiferromagnetic state as a function of the interactions treated within mean field. We find that with increasing interactions the system does not evolve trivially from the pure itinerant to the pure localized regime. Instead we find a region with a strong orbital differentiation between $xy$ and $yz$, which are half-filled gapped states at the Fermi level, and itinerant $zx$, $3z^2 - r^2$ and $x^2 - y^2$. We argue that orbital ordering between the $yz$ and $zx$ orbitals arises as a consequence of the interplay of the exchange energy in the antiferromagnetic $x$ direction and the kinetic energy gained by the itinerant orbitals along the ferromagnetic $y$ direction with an overall dominance of the kinetic energy gain. We indicate that iron superconductors may be close to the boundary between the itinerant and the orbital differentiated regimes and that it could be possible to cross this boundary with doping [arXiv: 1208.1917. Phys. Rev. B, November 2012]. [Preview Abstract] |
Session C38: Undergraduate Physics Education
Sponsoring Units: FEdChair: Mel Sabella, Chicago State University
Room: 347
Monday, March 18, 2013 2:30PM - 2:42PM |
C38.00001: Parallel Performance Analysis between Free Response Environments and the Force Concept Inventory in Introductory Mechanics Courses Nicole Bobbitt, Aaron Wade, Chandra Prayaga This paper reports our attempts to: 1) create a problem solving situation that folds in both kinematics and force discussions 2) find a way to model and predict common thought processes that cause typical misconceptions identified by the Force Concept Inventory (FCI). Two pen and paper test questions were designed with these goals in mind, both broken into specific elements to arrive at a quantifiable fragmentation of the necessary thought processes required to solve the problem. These results were compared to pre- and post-FCI data to analyze the common misconceptions as defined by FCI. The data was analysed using factor analysis to group performance across the two environments. Two styles of grading were used to highlight the effectiveness of this method. Ultimately this, and any future questions, would become a tool in the classroom to pinpoint the critical ideas with which a typical student struggles during an introductory mechanics course. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C38.00002: Using a flipped classroom in an algebra-based physics course Leigh Smith The algebra-based physics course is taken by Biology students, Pre-Pharmacy, Pre-Medical, and other health related majors such as medical imaging, physical therapy, and so on. Nearly 500 students take the course each Semester. Student learning is adversely impacted by poor math backgrounds as well as extensive work schedules outside of the classroom. We have been researching the use of an intensive flipped-classroom approach where students spend one to two hours each week preparing for class by reading the book, completing a series of conceptual problems, and viewing videos which describe the material. In class, the new response system Learning Catalytics is used which allows much richer problems to be posed in class and includes sketching figures, numerical or symbolic entries, short answers, highlighting text, etc in addition to the standard multiple choice questions. We make direct comparison of student learning for 1200 sudents who have taken the same tests, 25\% of which used the flipped classroom approach, and 75\% who took a more standard lecture. There is significant evidence of improvements in student learning for students taking the flipped classroom approach over standard lectures. These benefits appear to impact students at all math backgrounds. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C38.00003: The Use of Research-Based Instructional Strategies in Introductory Physics: Where do Faculty Leave the Innovation-Decision Process? Charles Henderson, Melissa Dancy, Magdalena Niewiadomska-Bugaj During the Fall of 2008 a web survey was completed by a representative sample of 722 United States physics faculty. In this talk we will briefly present summary statistics to describe faculty knowledge about and use of 24 specific research-based instructional strategies (RBIS). We will then analyze the results based on a four stage model of the innovation-decision process: knowledge, trial, continuation, and high use. The largest losses occur at the continuation stage, with approximately 1/3 of faculty discontinuing use of all RBIS after trying one or more of these strategies. These results suggest that common dissemination strategies are good at creating knowledge about RBIS and motivation to try a RBIS, but more work is needed to support faculty during implementation and continued use of RBIS. Based on a logistic regression analysis, only nine of the 20 potential predictor variables measured were statistically significant when controlling for other variables. Faculty age, institutional type, and percentage of job related to teaching were not found to be correlated with knowledge or use at any stage. High research productivity and large class sizes were not found to be barriers to use of at least some RBIS. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C38.00004: Wikispaces (Wikis) and Group Problem Solving (GPS) sessions in Physics classes Hashini Mohottala We report the combine use of Wikispaces (Wikis) and Group Problem Solving (GPS) sessions conducted in the introductory level and upper level physics classes. This method gradually evolved from the combine use of Wikis and Just in Time Teaching (JiTT) practiced over the past years. As a part of this new teaching method, some essay type problems, parallel to the chapter in discussion, were posted on the Wikis at the beginning of each week and students were encouraged to visit the pages and do the work without providing numerical final answers but the steps.~ At the end of each week students were evaluated on the problem solving skills opening up more opportunity for peer interaction by putting them into small groups and letting them solve one selected problem. A class of 30 students is divided into 6 groups and as a whole four lengthy essay problems are discussed - each group is given to solve one problem. The problem numbers are drawn in a raffle and the groups are excited to find out what they get each week. The required skills to solve a problem are gained from the weekly given Wiki exercises.~Wiki provides a user-friendly platform to make this effort a success. GPS sessions help the professor identify the failing students earlier and help them before it's too late. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C38.00005: Computer-based, Jeopardy\texttrademark -like game in general chemistry for engineering majors S.S. Ling, F. Saffre, M. Kadadha, D.L. Gater, A.F. Isakovic We report on the design of Jeopardy\texttrademark -like computer game for enhancement of learning of general chemistry for engineering majors. While we examine several parameters of student achievement and attitude, our primary concern is addressing the motivation of students, which tends to be low in a traditionally run chemistry lectures. The effect of the game-playing is tested by comparing paper-based game quiz, which constitutes a control group, and computer-based game quiz, constituting a treatment group. Computer-based game quizzes are Java\texttrademark -based applications that students run once a week in the second part of the last lecture of the week. Overall effectiveness of the semester-long program is measured through pretest-postest conceptual testing of general chemistry. The objective of this research is to determine to what extent this ``gamification'' of the course delivery and course evaluation processes may be beneficial to the undergraduates' learning of science in general, and chemistry in particular. We present data addressing gender-specific difference in performance, as well as background (pre-college) level of general science and chemistry preparation. We outline the plan how to extend such approach to general physics courses and to modern science driven electives, and we offer live, in-lectures examples of our computer gaming experience. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C38.00006: The Physics Learning Center at the University of Wisconsin-Madison S.M. Nossal, L.E. Watson, E. Hooper, A. Huesmann, B. Schenker, P. Timbie, M. Rzchowski The Physics Learning Center at the University of Wisconsin-Madison provides academic support and small-group supplemental instruction to students studying introductory algebra-based and calculus-based physics. These classes are gateway courses for majors in the biological and physical sciences, pre-health fields, engineering, and secondary science education. The Physics Learning Center offers supplemental instruction groups twice weekly where students can discuss concepts and practice with problem-solving techniques. The Center also provides students with access on-line resources that stress conceptual understanding, and to exam review sessions. Participants in our program include returning adults, people from historically underrepresented racial/ethnic groups, students from families in lower-income circumstances, students in the first generation of their family to attend college, transfer students, veterans, and people with disabilities, all of whom might feel isolated in their large introductory course and thus have a more difficult time finding study partners. We also work with students potentially at-risk for having academic difficulty (due to factors academic probation, weak math background, low first exam score, or no high school physics). A second mission of the Physics Learning Center is to provide teacher training and leadership experience for undergraduate Peer Mentor Tutors. These Peer Tutors lead the majority of the weekly group sessions in close supervision by PLC staff members. We will describe our work to support students in the Physics Learning Center, including our teacher-training program for our undergraduate Peer Mentor Tutors [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C38.00007: Re-Envisioning the Introductory Physics Sequence at Georgia Gwinnett College (GGC) Scott J. Thompson, Kenneth B. Sales GGC is a new, 4-year, open-access institution located in the northeast of Atlanta. As an open access college, many of the students who take the introductory physics sequence do not have a strong mathematical background. A large percentage of the students have significant work or family obligations in addition to being full-time students. To better serve these students, the first semester of the trig-based introductory physics sequence was modified in a manner that focuses and structures the material to be completed by the students both outside and inside of class such that the time spent outside of class can be reduced. Specifically, focused notes were provided to the students with an online assignment prior to class in place of reading from a textbook. Class time was then focused on a deeper understanding of the concepts to be covered instead of an initial (or secondary) introduction to the material. Data was collected for specific exam questions and compared with the results from previous classes taught by the same instructors. An overview of the results and observations of the instructors using this method will be discussed. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C38.00008: On ``Global Warming/Climate Change" --- A Critical-Thinking Approach to Analyzing some of the Science while Teaching the Scientific Method Laurence I. Gould Undergraduates tend to learn and enjoy physics through its well-established corpus (mechanics, electricity and magnetism, quantum theory, etc.). However, there is a relatively new opportunity to enhance the learning of physics through critical thinking in a non-traditional area. Such thinking can be fostered through an analysis of both the science and methodology involved in the area commonly known as ``global warming/climate change'' (AGW). This opportunity arises because of an increasing number of scientists from around the world who have been examining and challenging[1] the apparently dominant claim that dangerous AGW is caused primarily by human-produced carbon dioxide. This talk will go over how such critical thinking works through: (1) two independent-study courses I have done with some physics majors, and (2) a college-wide freshman seminar about AGW (which may encourage students to consider taking more physics courses or even take physics as a Minor or Major).\\[4pt] [1] The 2011 Interim Report from the Nongovernmental International Panel on Climate Change -- http://www.nipccreport.org/reports/2011/2011report.html (most of the research reported here appears in peer-reviewed science journals) [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C38.00009: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 4:18PM - 4:30PM |
C38.00010: Sensory illusions: Common mistakes in physics regarding sound, light and radio waves T.M. Briles, A.E. Tabor-Morris Optical illusions are well known as effects that we see that are not representative of reality. Sensory illusions are similar but can involve other senses than sight, such as hearing or touch. One mistake commonly noted among instructors is that students often mis-identify radio signals as sound waves and not as part of the electromagnetic spectrum. A survey of physics students from multiple high schools highlights the frequency of this common misconception, as well as other nuances on this misunderstanding. Many students appear to conclude that, since they experience radio broadcasts as sound, then sound waves are the actual transmission of radio signals and not, as is actually true, a representation of those waves as produced by the translator box, the radio. Steps to help students identify and correct sensory illusion misconceptions are discussed. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C38.00011: Introducing New Experiments to the Contemporary Physics Lab: Emphasis on Quantum Mechanics Foundations and New Physics Frontiers Khalid Eid, Jan Yarrison-Rice, Herbert Jaeger We remodeled our sophomore curriculum extensively both in the laboratories and the lectures. Our Experimental Contemporary Physics laboratory (PHY293) was almost completely re-built both in curriculum and pedagogy. Among the new experiments that we introduced are Nanoparticle plasmon resonance, Saturated absorption and fluorescence in iodine molecules, Quantized conductance in atomic-scale constrictions, and Water droplets behavior and manipulation on metal surfaces. This presentation will focus on the last two experiments. Quantized conductance in a constriction in a gold wire being pulled slowly is a unique direct application of the one-dimensional potential wells. Unlike most experiments on quantum mechanics that use optics, this experiment is transport-based, conceptually simple, and robust in addition to being low-cost. The transport properties of the wire span multiple transport regimes while being pulled. It is quite valuable for students (a significant fraction of whom are biological physics and engineering physics majors) to understand the behavior of water droplets on different surfaces. Water is the medium in which biological activities occur and is important in many other applications like air conditioning and refrigeration. We design simple gradients in the hydrophobic/hydrophilic properties of metal surfaces in order to move water droplets in a controlled way, even against gravity. Students explore the effects of surface tension and metal roughness on droplets. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C38.00012: On the Electron Gas Heat Capacity in Undergraduate Solid State Javier Hasbun In undergraduate solid state physics the electronic energy, $U_{el}$, is calculated through the Fermi distribution function while the energy is weighted with the density of states. The electronic heat capacity is the derivative of the electronic energy with respect to temperature. Through this process, it is possible [1] to obtain a low temperature approximation for the heat capacity, $C_{el}$ that's proportional to the temperature. It is of interest to do a numerical calculation of $U_{el}$ from which the numerical $C_{el}$ is extracted. However, the result obtained, while agreeing with the low temperature approximation, has a slope that's substantially different. The disagreement appears large as the temperature is increased from zero K. Here we show that the reason has to do with the constancy of the Fermi level. By including the self consistent behavior of the chemical potential, the deviation from zero Kelvin is much improved and the result seems to make better sense. The lesson learned is significant enough to be of great pedagogical importance as regards the heat capacity calculation and the behavior of the chemical potential with temperature.\\[4pt] [1] ``Introduction to Solid State Physics,'' C. Kittel, 8th Ed. (John Wiley, NY 2005). [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C38.00013: Design and operation of an inexpensive far-field laser scanning microscope suitable for use in an undergraduate laboratory course Arthur Pallone, Eric Hawk Scanning microscope applications span the science disciplines yet their costs limit their use at educational institutions. The basic concepts of scanning microscopy are simple. The microscope probe - whether it produces a photon, electron or ion beam - moves relative to the surface of the sample object. The beam interacts with the sample to produce a detected signal that depends on the desired property to be measured at the probe location on the sample. The microscope transforms the signal for output in a form desired by the user. Undergraduate students can easily construct a far-field laser scanning microscope that illustrates each of these principles from parts available at local electronics and hardware stores and use the microscope to explore properties of devices such as light dependent resistors and biological samples such as leaves. Students can record, analyze and interpret results using a computer and free software. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C38.00014: Simulation and Visualization of Chaos in a Driven Nonlinear Pendulum -- An Aid to Introducing Chaotic Systems in Physics Godfrey Akpojotor, Louis Ehwerhemuepha, Ogheneriobororue Amromanoh The presence of physical systems whose characteristics change in a seemingly erratic manner gives rise to the study of chaotic systems. The characteristics of these systems are due to their hypersensitivity to changes in initial conditions. In order to understand chaotic systems, some sort of simulation and visualization is pertinent. Consequently, in this work, we have simulated and graphically visualized chaos in a driven nonlinear pendulum as a means of introducing chaotic systems. The results obtained which highlight the hypersensitivity of the pendulum are used to discuss the effectiveness of teaching and learning the physics of chaotic system using Python. This study is one of the many studies under the African Computational Science and Engineering Tour Project (PASET) which is using Python to model, simulate and visualize concepts, laws and phenomena in Science and Engineering to compliment the teaching/learning of theory and experiment. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C38.00015: Incorporating Ideas from Detector Physics into the Physics Curriculum: from HS to College Miso Komarov, Bernard Boston, Rodney Carmona, Ely Leon, Mel Sabella, Edmundo Garcia-Solis The goal of this project is to improve student understanding of modern physics in the undergraduate curriculum by building stronger content knowledge, reasoning and laboratory skills. This project is centered on the development of lab modules that help students move beyond theory and develop an appreciation of modern experimental physics. These modules allow students to build knowledge of subatomic particles by experimenting with detectors made of scintillator plastic, phototubes and read-out electronics. These instructional modules we are developing will permeate throughout the undergraduate curriculum forming a coherent conceptual thread. As students progress through the materials the content will become more challenging as the level of scaffolding decreases. As students complete the conceptual thread they will become versed in nuclear physics experimental techniques. In this talk we introduce the project, the detectors and the lab modules. Module one relates the kinetic energy we study in introductory mechanics to the kinetic energy of sub-atomic particles. Module two relates the principles of electromagnetism and charge from the interaction of magnets and coils to that of a sub-atomic particle moving through a detector. [Preview Abstract] |
Session C39: Focus Session: Materials for Electrochemical Energy Storage I
Sponsoring Units: DMP GERA FIAP DCOMPChair: Apparao Rao, Clemson University
Room: 348
Monday, March 18, 2013 2:30PM - 2:42PM |
C39.00001: Enhanced electrochemical performance of graphene modified LiFePO$_4$ as a cathode material for lithium ion batteries Kulwinder Singh Dhindsa, Balaji Prasad Mandal, Ming-Wei Lin, Maryam Nazri, Gholam Abbas Nazri, Vaman M. Naik, Prem Vaishnava, Ratna Naik, Zhixian Zhou We synthesized LiFePO$_4$/graphene nano-composites using a sol-gel method by adding water dispersed graphene oxide to the LiFePO$_4$ precursors during the synthesis. The graphene oxide was subsequently reduced to graphene by annealing the composite which was confirmed by Raman spectroscopy and X-ray Photoelectron spectroscopy. The electronic conductivity of the composite was found to be six orders of magnitude higher than that of pure LiFePO$_4$ Scanning Electron microscopy and Transmission electron microscopy images show LiFePO$_4$ particles are wrapped in uniformly distributed graphene sheets throughout the material forming a three dimensional conducting network. At low currents, (C/3), the capacity of the composite cathode reaches 160 mAh/g, which is very close to the theoretical limit. More significantly, the graphene wrapped LiFePO$_4$ shows a dramatically improved rate capability up to 27C, and excellent charge-discharge cycle stability over 500 stable cycles as compared to the pure LiFePO$_4$. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C39.00002: Multilayer Graphynes for Lithium Ion Battery Anode Hoonkyung Lee Graphynes, two-dimensional layers of \textit{sp}- and \textit{sp}$^{2}$-bonded carbon atoms, have recently received considerable attention because of their potential as new Dirac materials. Here, focusing on their large surface area, we explore the applicability of graphynes as lithium ion battery anodes through the first-principles density functional calculations. We have found that Li potential energies are in the range suitable to be used as anodes. Furthermore, the maximum composite of Li-intercalated multilayer $\alpha $- and $\gamma $-graphynes is found to be C$_{6}$Li$_{3}$, which corresponds to a specific capacity of 1117 mAh g$^{-1}$, twice as large as the previous theoretical prediction for graphynes. The volumetric capacity of Li-intercalated multilayer $\alpha $- and $\gamma $-graphynes is 1364 and 1589 mAh cm$^{-3}$, respectively. Both specific and volumetric capacities of Li-intercalated graphynes are significantly larger than the corresponding value of graphite, from which we conclude that multilayer graphynes can serve as high-capacity lithium ion battery anodes. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C39.00003: Evaluating and enhancing quantum capacitance in graphene-based electrodes from first principles Tadashi Ogitsu, Minoru Otani, Jonathan Lee, Michael Bagge-Hansen, Juergen Biener, Brandon Wood Graphene derivatives are attractive as supercapacitor electrodes because they are lightweight, chemically inert, have high surface area and conductivity, and are stable in electrolyte solutions. Nevertheless, devising reliable strategies for improving energy density relies on an understanding of the specific factors that control electrode performance. We use density-functional theory calculations of pristine and defective graphene to extract quantum capacitance, as well as to identify specific limiting factors. The effect of structural point defects and strain-related morphological changes on the density of states is also evaluated. The results are combined with predicted and measured \emph{in situ} X-ray absorption spectra in order to give insight into the structural and chemical features present in synthesized carbon aerogel samples. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:42PM |
C39.00004: Architectures for Nanostructured Batteries Invited Speaker: Gary Rubloff Heterogeneous nanostructures offer profound opportunities for advancement in electrochemical energy storage, particularly with regard to power. However, their design and integration must balance ion transport, electron transport, and stability under charge/discharge cycling, involving fundamental physical, chemical and electrochemical mechanisms at nano length scales and across disparate time scales. In our group and in our DOE Energy Frontier Research Center (www.efrc.umd.edu) we have investigated single nanostructures and regular nanostructure arrays as batteries, electrochemical capacitors, and electrostatic capacitors to understand limiting mechanisms, using a variety of synthesis and characterization strategies. Primary lithiation pathways in heterogeneous nanostructures have been observed to include surface, interface, and both isotropic and anisotropic diffusion, depending on materials. Integrating current collection layers at the nano scale with active ion storage layers enhances power and can improve stability during cycling. For densely packed nanostructures as required for storage applications, we investigate both ``regular'' and ``random'' architectures consistent with transport requirements for spatial connectivity. Such configurations raise further important questions at the meso scale, such as dynamic ion and electron transport in narrow and tortuous channels, and the role of defect structures and their evolution during charge cycling. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C39.00005: Electrochemistry of ion inserted vanadium oxide nanosheets Marc Pomeroy, Shaola Ren, Qifan Yuan, Victoria Soghomonian Electrochemical energy storage is becoming increasingly important for its high specific power and quick charge and discharge rates. We investigate the electrical properties of hydrothermally synthesized vanadium oxide nanosheets as potential anode component of an electrochemical capacitor. The room temperature resistivity of the as-synthesized and pristine vanadium oxide nanosheets is around 10\textasciicircum 7 ohm-cm, and variable temperature measurements indicate the semiconducting behavior of the material. Electrodes are fabricated from the nanosheets, and inserted into appropriate chloride solutions of Li, Na and ammonium. Room temperature voltammetry in the solutions are recorded and provide a measure of stored energy relative to each cation. Scanning electron micrographs obtained before and after various cyclic voltammograms provides a visual measure of nanosheet stability and a correlation to its electrochemical activity. Micrographs show that the material is robust towards Li insertion, but after several cycles of ammonium insertion, degradation occurs. Degradation upon Na insertion is minimal. These comparative studies shed light on the interactions between ions and metal oxide nanosheets. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C39.00006: Redox electrodes comprised of polymer-modified carbon nanomaterials Mark Roberts, Robert Emmett, Mehmet Karakaya, Ramakrishna Podila, Apparao Rao A shift in how we generate and use electricity requires new energy storage materials and systems compatible with hybrid electric transportation and the integration of renewable energy sources. Supercapacitors provide a solution to these needs by combining the high power, rapid switching, and exceptional cycle life of a capacitor with the high energy density of a battery. Our research brings together nanotechnology and materials chemistry to address the limitations of electrode materials. Paper electrodes fabricated with various forms of carbon nanomaterials, such as nanotubes, are modified with redox-polymers to increase the electrode's energy density while maintaining rapid discharge rates. In these systems, the carbon nanomaterials provide the high surface area, electrical conductivity, nanoscale and porosity, while the redox polymers provide a mechanism for charge storage through Faradaic charge transfer. The design of redox polymers and their incorporation into nanomaterial electrodes will be discussed with a focus on enabling high power and high energy density electrodes. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C39.00007: Thin films with transvers concentration gradient as a model system to study core-shell cathodes for lithium ion batteries Shintaro Yasui, Zhi-peng Li, Joysurya Basu, Dmitry Ruzmetov, Leonid Bendersky, Ichiro Takeuchi, Alec Talin Recently it has been reported that heterogeneous structures of cathode materials for high-energy, high-power lithium-ion batteries have improved electrochemical properties, especially thermal stability. As an example, the spherical core-shell (or concentration-gradient) cathode particles with a Ni-rich core and a Mn-rich shell of Li(Ni$_{\mathrm{0.8}}$Co$_{\mathrm{0.1}}$Mn$_{\mathrm{0.1}})$O$_{\mathrm{2}}$ have better retention of capacity in comparison to uniform materials1. In this work the Li(Ni,Mn)O$_{\mathrm{2}}$ thin films with transverse compositional gradients were used as a model system to investigate and understand the reported improvements. Preparation of the films by multi-target pulse lased deposition (PLD) on single-crystal conductive Nb:SrTiO$_{\mathrm{3}}$ substrates allowed great compositional control and ability to deposit different compositional profiles, ranging from continuous to discreet variations of the Mn/Ni ratio. The film structures were studied by XRD and analytical TEM to correlate the structural and compositional variations. The films were tested for their electrochemical cycling performance and for the effect of cycling on structural degradations. [1] Chen, Z., Lee, D.-J., Sun, Y.-K. and Amine, K., MRS Bull. 36, 498--505 (2011). [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C39.00008: Real time measurement of Al anode degradation in thin film batteries Marina Leite, Dmitry Ruzmetov, Zhipeng Li, Leonid Bendersky, A. Alec Talin Li-ion battery (LIB) anodes that alloy with Li, including Si, Ge, Sn, and Al have specific capacities that significantly exceed that of carbon-based intercalation anodes. However, the large volume expansion and contraction that accompany charging and discharging processes lead to large mechanical stresses that ultimately lead to loss of capacity and failure of the anodes. To better understand the failure mechanism, we cycle a thin film LIB with an Al anode in a scanning electron microscope to measure in real time the nucleation and growth of a highly strained (-44{\%}) Al-Li alloy. We use galvanostatic charging and discharging to control the rate of Li diffusion into the Al anode, and by collecting a series of SEM images in small time intervals we are able to directly correlate the nucleation events of Li-Al with specific peaks in the measured voltage. Based on these observations and ex situ transmission electron microscopy we develop a semi-quantitative description for the mechanism of Al anode degradation that could be extended to other alloy anode materials. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C39.00009: Carbon Nanotube-templated Polymer Single Crystals Serve as Controllable Spacers to Form Novel Battery Architectures Eric D. Laird, Christopher Y. Li One of the many challenges in battery cathode architectures lies in creating a porous structure with tunable features on the 10-100 nm length scale. Stable features of this size are desirable for engineered surface topology as well as charge storage applications. Few materials exist that can satisfy this requirement. Fewer still have high enough electron conductivity to be of use without adding an additional conducting phase. The ``nanohybrid shish kebab'' (NHSK) structure may be a solution to this obstacle. This physical functionalization technique for carbon nanotubes uses polymer single crystals grown from solution to produce a controllable spacer. In our previous work, it was shown that NHSKs can be controllably tuned to have average diameters ranging from 18 to 94 nm for single-walled carbon nanotubes. Films of these materials can easily be made free-standing and are highly flexible. Recent work in extending the functionality of these materials through the formation of ternary composites for battery applications will be presented. Pulsed electrodeposition of MnO2 onto the surfaces of these films forms an electrochemically active layer for lithium cells. High specific cathodic capacity has been observed in a rechargeable battery based on these materials. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C39.00010: Graphitic electrodes modified with boron and nitrogen for electrochemical energy storage enhancement Guoping Xiong, Rajib Paul, Ron Reifenberger, Timothy Fisher Electrodes based on carbon nanomaterials (carbon nanotubes or graphitic nanopetals) have been modified with boron (B) and nitrogen (N) through a facile microwave heating cycle. During the microwave heating, the electrodes are immersed in a precursor solution consisting of urea and boric acid dissolved in either water or methanol. After microwave heating and overnight vacuum drying, the electrodes are again heated in nitrogen to remove unreacted chemicals and to form C$_{x}$BN. Hydrogen plasma was then used to remove any residual boron oxide from the surface of the electrodes. Carbon nanotubes modified with B and N exhibited higher lithium storage capacity as compared to pure carbon nanotube electrodes. We note that the modification appears to produce a highly unexpected and substantial cycle-to-cycle improvement in battery capacity as the electrode cycles through hundreds of charge-discharge iterations. This process can be applied to other carbon-based electrodes, which themselves are recognized for their high performance, to add further improvements. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C39.00011: Rapid Synthesis of Few Layer Graphene Films and Their Electrochemical Behavior as Li-ion Battery Anode Lamuel David, Gurpreet Singh We study the process of graphene growth on Cu and Ni substrates subjected to rapid heating (approx. 8 $^{\circ}$C/sec) and cooling cycles (approx. 10 $^{\circ}$C/sec) in a modified atmospheric pressure chemical vapor deposition furnace. Electron microscopy followed by Raman spectroscopy demonstrated successful synthesis of large area few-layer graphene (FLG) films on both Cu and Ni substrates. The overall synthesis time was less than one hour. Further, the as-synthesized films were utilized as anode material and their electrochemical behavior was studied in a lithium half-cell configuration. FLG on Cu (Cu-G) showed reduced lithium-alloying capacity when compared with SLG, BLG and Bare-Cu suggesting its substrate protective nature (barrier to Li-ions). While FLG on Ni (Ni-G) showed better Li-cycling ability similar to that of other carbons suggesting that the presence of graphene edge planes (typical of Ni-G) is important in effective uptake and release of Li-ions in these materials. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C39.00012: A multi-physics study of Li-ion battery material Li$_{1+x}$Ti$_2$O$_4$ Tonghu Jiang, Michael Falk, Krishna Siva Shankar Rudraraju, Krishna Garikipati, Anton van der Ven Recently, lithium ion batteries have been subject to intense scientific study due to growing demand arising from their utilization in portable electronics, electric vehicles and other applications. Most cathode materials in lithium ion batteries involve a two-phase process during charging and discharging, and the rate of these processes is typically limited by the slow interface mobility. We have undertaken modeling regarding how lithium diffusion in the interface region affects the motion of the phase boundary. We have developed a multi-physics computational method suitable for predicting time evolution of the driven interface. In this method, we calculate formation energies and migration energy barriers by ab initio methods, which are then approximated by cluster expansions. Monte Carlo calculation is further employed to obtain thermodynamic and kinetic information, e.g., anisotropic interfacial energies, and mobilities, which are used to parameterize continuum modeling of the charging and discharging processes. We test this methodology on spinel Li$_{1+x}$Ti$_2$O$_4$. Elastic effects are incorporated into the calculations to determine the effect of variations in modulus and strain on stress concentrations and failure modes within the material. We acknowledge support by the National Science Foundation Cyber Discovery and Innovation Program under Award No. 1027765. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C39.00013: Novel Quinone-Based Couples for Flow Batteries Brian Huskinson, Saraf Nawar, Michael Aziz Flow batteries are of interest for low-cost grid-scale electrical energy storage in the face of rising electricity production from intermittent renewables like wind and solar. We will report on investigations of redox couples based on the reversible protonation of small organic molecules called quinones. We will report half-cell measurements of current density vs. potential for aqueous solutions of various quinones and hydroquinones in sulfuric acid, facilitated by a variety of electrocatalysts. For a subset of these we will report full fuel cell measurements as well. [Preview Abstract] |
Session C40: Focus Session: Control of Ultrathin Film Morphology
Sponsoring Units: DMPChair: Shirley Chang, University of California Davis
Room: 349
Monday, March 18, 2013 2:30PM - 2:42PM |
C40.00001: Quantitative model of heterogeneous nucleation and growth of SiGe quantum dot molecules Hao Hu, Feng Liu We develop a quantitative theoretical model for heterogeneous nucleation and the growth of a quantum dot molecule --- a few islands ``strain bonded'' by a pit in heteroepitaxy of thin films, in contrast to homogeneous nucleation and growth of isolated strain islands on the surface. We use a multiscale approach combining continuum model with first-principles calculation, and show that the critical size and energy barrier for island nucleation next to a pit is substantially reduced with the increasing pit size, but the reduction approaches an upper bound of $\sim$85{\%} and $\sim$72{\%} for the size and barrier, respectively. Our model also predicts a self-limiting effect on island growth, resulting from an intriguing interplay between island-pit attraction and island-island repulsion, that drives the island size to increase linearly with the pit size, which explains a long-standing puzzle of experimental observation. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C40.00002: Influence of Surface Reconstruction on Droplet Epitaxy of InAs/GaAs Quantum Dots for Photovoltaics Simon Huang, Larry Aagesen, Jinyoung Hwang, Alan Teran, Jamie Phillips, Roy Clarke, Katsuyo Thornton, Rachel Goldman Quantum dot (QD) superlattices have been proposed for improving solar cell efficiency by providing intermediate energy bands to allow sub-bandgap photon absorption. Although photocurrent enhancement from QD solar cells has been demonstrated, QD cells exhibit lower open-circuit voltages and efficiencies than the GaAs reference cells, presumably due to the high electron capture rates induced by the elliptically shaped Stranski-Krastanov QDs. To improve the QD aspect ratio, thereby reducing the electron capture rate, we are exploring an alternative QD fabrication approach, droplet epitaxy (DE). To date, we have explored the influence of buffer surface reconstructions on the In exposure dependence of DE QD densities and size distributions. The GaAs (1x1) surfaces lead to higher density of smaller QDs with broad log-normal size distributions, suggesting coalescence dominated QD growth with inhibited In atomic surface diffusion. The c(4x4) surfaces enable the formation of larger QDs with lower density and narrow Gaussian size distributions, suggesting Ostwald ripening dominated growth with enhanced In atomic surface diffusion. Furthermore, we will discuss correlations between the formation, interface structure, and photovoltaic properties of DE QDs. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C40.00003: Formation and coalescence of surface domains introduced by metal deposition on a stepped Si(111) surface F.K. Men, A.L. Chin, C.P. Chang By depositing sub-monolayer Au atoms onto a stepped Si(111)-(7$\times$7) surface at 600$^{\circ}$C, stripes of (5$\times$2) domain form on the upper step edges of most terraces. Upon continued annealing at a higher temperature, most of the terraces transform into either Au-free (7$\times$7) terraces or fully reconstructed (5$\times$2) terraces. After analyzing the distance distribution between neighboring (5$\times$2) terraces we detect the presence of an optimal distance separating (5$\times$2) terraces. This optimal distance, controllable via the Au coverage, can be explained by the minimization of long-range strain relaxation energy of a system consisted of alternating domains. The ability of tuning surface domain structure through metal deposition provides a new way of manipulating surface morphology in the nanometer-scale range. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:42PM |
C40.00004: Probing phase transitions at surfaces with ultrafast electron diffraction Invited Speaker: Michael Horn von Hoegen The multitude of possible processes that can occur at surfaces cover many orders of magnitude in the time domain. While large scale growth and structure formation happens on a timescale of minutes and seconds, diffusion is already much faster, but can still be observed by electron microscopy. Many other processes as chemical reactions, phonon dynamics, or phase transitions, however, take place on the femto- and picosecond timescale and are yet way to fast for imaging techniques. In order to study such ultrafast processes at surfaces we have combined modern surface science techniques with fs laser pulses in a pump probe scheme. We use a RHEED setup with grazing incident electrons of 7 - 30 keV to ensure surface sensitivity. In order to overcome the velocity mismatch between light and electrons a tilted pulse front scheme is used to achieve a time resolution of less than 2 ps. The sample is excited with 800 nm photons with a pulse energy of 0.5 mJ at 5 kHz repetition rate. The huge potential of this technique for the study of transient surface phenomena is demonstrated with the non-equilibrium dynamics of the In induced c(8x2) reconstruction on Si(111). This surface exhibits a Peierls-like phase transition at 100 K from a c(8x2) groundstate, which is accompanied by the formation of a charge density wave (CDW), to (4x1) excited state. Upon excitation by the fs-laser pulse this structural phase transition is driven into the excited (4x1) state at a sample temperature of 20 K. The surface is only excited electronically, the CDW is lifted by photo doping and the surface remains up to 500 ps in a super cooled excited (4x1) state. Relaxation into the c(8x2) groundstate happens delayed through the nucleation of the c(8x2) at defects which triggers a 1-dim. recrystallisation front which propagates with the velocity of sound. Utilizing the Debye Waller effect, the excitation, conversion and relaxation of vibrational excitations in monolayer adsorbate systems like the Pb induced HIC ($\surd $3x$\surd $3) phase on Si(111) was studied. Initially only a high frequency optical mode with an amplitude parallel to the surface is excited. Subsequently, this mode decays into low frequency acoustic modes with an amplitude vertical to the surface which, however, do not couple to the phonons of the Si substrate and survive for many nanoseconds. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C40.00005: Conversion among Co adsorption states on Si(111)-(7$\times $7) by atomic manipulation Qin Liu, Kedong Wang, Guohua Zhong, Fangfei Ming, Xudong Xiao Eight types of adsorption structure of single Co atom have been identified by comparing scanning tunneling microscopy (STM) images of Si(111)-(7$\times $7) surface before and after in situ Co deposition at room temperature. The adsorption of single Co atom causes silicon adatoms appearing dimmer or brighter than their symmetry equivalents. Density functional theory calculations are performed to find the possible adsorption sites of Co atom and the magnetic moments of each type of adsorption structure, showing that the magnetic moments of the eight structures are different. Furthermore, atomic manipulation method has been used to realize conversions among the various Co adsorption structures. We have demonstrated that the single Co atom in eight different structure on Si(111)-(7$\times $7) surface can be converted to each other directly or indirectly. Therefore, it makes this single Co/Si(111)-(7$\times $7) become a promising system for building practical atomic magnetic structures for quantum computing since that each kind of single Co atom structure represents different magnetic states. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C40.00006: Iridium-silicide nanowires on Si(001) surface Dylan Nicholls, Nuri Oncel Iridium (Ir) modified Silicon (Si) (001) surface is studied with low energy electron diffraction (LEED) and scanning tunneling microscopy (STM). The surface exhibits $p(2\times 2)$ domains on LEED intensity images. The STM images show that the basis of the crystal lattice is consists of an Ir atom and a Si dimer and similar to Si(001) dimer rows, they are aligned parallel to the [110] orthogonal directions. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C40.00007: On the Connection between Kinetic Monte Carlo and the Burton-Cabrera-Frank Theory Paul Patrone, Dionisios Margetis, T.L. Einstein In the many years since it was first proposed, the Burton- Cabrera-Frank (BCF) model of step-flow has been experimentally established as one of the cornerstones of surface physics. However, many questions remain regarding the underlying physical processes and theoretical assumptions that give rise to the BCF theory. In this work, we formally derive the BCF theory from an atomistic, kinetic Monte Carlo model of the surface in 1$+$1 dimensions with one step. Our analysis (i) shows how the BCF theory describes a surface with a low density of adsorbed atoms, and (ii) establishes a set of near-equilibrium conditions ensuring that the theory remains valid for all times. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C40.00008: Capture Zone Distributions and Island Morphologies in Organic Epitaxy and Graphene Formation Alberto Pimpinelli, T.L. Einstein Stating that island nucleation is an essential step in the formation of an epitaxial or supported layer may appear trivially obvious. However, less trivial is the observation that the size of the critical nucleus plays a crucial role in that it determines both the island density (and therefore the size of domains) and the evolution of the island morphology. In this talk we will describe recent developments in the analysis of capture zone distributions (CZD) specifically tailored for application to organic materials. We will also describe specific features of organic and graphene island morphologies, and discuss how they are related to the nucleation process and to the size of the critical nucleus. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C40.00009: Electromigration-driven dynamics of single-layer epitaxial islands on substrates Dwaipayan Dasgupta, Georgios I. Sfyris, Dimitrios Maroudas Electromigration-driven dynamics of single-layer epitaxial islands on substrates can lead to surface pattern formation that may have significant impact on nanofabrication. We develop a fully nonlinear model for the driven morphological evolution of single-layer homoepitaxial islands and coherently strained heteroepitaxial islands on crystalline elastic substrates with diffusional mass transport limited to the island periphery. We carry out dynamical simulations of the driven dynamics of such islands and validate the model by comparisons of the simulation results for individual islands with published experimental results. We find that the island migration speed varies linearly with 1/R, where R is the island size, up to a critical size that marks the onset of island morphological transition; further increase in R triggers other morphological or dynamical transitions. We also find an exponential dependence of the island mobility on the misfit strain. We also study the driven dynamics of island pairs with the island sizes and the island center-to-center line misalignment with respect to the electric-field direction being the key parameters. This parametric study identifies several classes of pattern forming dynamical phenomena mediated by island coalescence and break-up. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C40.00010: Electromigration- and thermomigration-driven surface morphological stabilization of coherently strained thin films on elastically deformable substrates Georgios I. Sfyris, Dwaipayan Dasgupta, Dimitrios Maroudas We study the surface morphological stability of a coherently strained thin film grown epitaxially on a substrate and subjected to an external electric field and temperature gradient. Due to its lattice mismatch with the substrate the film may undergo a Stranski-Krastanow (SK) instability, resulting in formation of islands on its surface. We consider various types of substrates placing emphasis on compliant substrates that partly accommodate elastically the lattice-mismatch strain in the epitaxial film. To examine the morphological stability of the film's planar surface state, we conduct a linear stability analysis based on a three-dimensional model of driven film surface morphological evolution. We find that the simultaneous action of properly applied and sufficiently strong external fields is necessary to stabilize the planar film surface morphology; in such cases, surface electromigration and thermomigration can inhibit SK-type instabilities and control the onset of island formation on the film surface. We derive the conditions for synergy and competition of the two external fields for surface stabilization and demonstrate the beneficial effects of the thermal field on reducing the critical electric-field strength required to stabilize the planar film surface morphology. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C40.00011: Strain and Shape-Driven Self-Organization of Atomically Abrupt Junctions on Patterned Ge (001) Surfaces Boris Lukanov, Kevin Garrity, Fred Walker, Sohrab Ismail-Beigi, Eric Altman We employ STM, electron diffraction, and other experimental techniques, complemented by density functional theory, in order to explore the interaction of alkaline-earth metals with the Si and Ge (001) surfaces on the atomic scale. Our results reveal a complex series of phase transitions as the alkaline-earth coverage is varied. Each phase transition is accompanied by significant changes in the surface morphology that can only be explained by mass transfer induced by the formation of alloy surfaces. Through comparison of bias-dependent atomic-resolution STM images with first-principle calculations, we develop atomic structural models of the surface alloy phases. Incorporation of the larger alkaline earth atoms into the Ge surface creates anisotropic strain that is ultimately relieved by the formation of remarkably well-ordered arrays of islands and trenches. With applications in mind, we investigate deposition onto a Ge substrate lithographically patterned with shapes, designed to direct the self-organization of the alkaline-earth induced surface structures. Sr deposition onto a Ge substrate patterned with cross-shaped nano-templates results in phase segregation within the template boundaries and the formation of atomically abrupt junctions between the different surface alloys. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C40.00012: Exploring the Role of Steps: A Collection of Case Studies of Vicinal Metal Surfaces using Density Functional Theory James Westover, Abdelkader Kara We will present results from multiple case studies done using DFT. We have explored the contribution made by step edges when metal surfaces are in contact with organic molecules, specifically, the cases involving pentacene (C$_{22}$H$_{14})$. The cases of vicinal surfaces with terrace geometries of 100 and 111 will be compared and contrasted. The question of terrace width is also addressed by results presented for situations involving diminishing step width. Because of the abundance of experimental data for copper it has been chosen as one metal surface to be considered. Additionally, copper's lattice constant is commensurate with the ring width in the benzene chain that forms pentacene. To contrast copper results for another noble metal, silver, will also be presented. We will present results for both structural and electronic changes in both the substrate and molecule. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C40.00013: Large-scale Molecular Dynamics Simulations of Glancing Angle Deposition Bradley Hubartt, Xuejing Liu, Jacques Amar While a variety of methods have been developed to carry out atomistic simulations of thin-film growth at small deposition angles with respect to the substrate normal, due to the complex morphology as well as the existence of multiple scattering of depositing atoms by the growing thin-film, realistically modeling the deposition process for large deposition angles can be quite challenging. Accordingly, we have developed a computationally efficient method based on the use of a single graphical processing unit (GPU) to carry out molecular dynamics (MD) simulations of the deposition and growth of thin-films via glancing angle deposition. Using this method we have carried out large-scale MD simulations, based on an embedded-atom-method potential, of Cu/Cu(100) growth up to 20 monolayers for deposition angles ranging from 50$^{\circ}$ to 85$^{\circ}$ and for both random and fixed azimuthal angles. Our results for the thin-film porosity, roughness, lateral correlation length, and density vs height will be presented and compared with experiments. Results for the dependence of the microstructure, grain-size distribution, surface texture, and defect concentration on deposition angle will also be presented. [Preview Abstract] |
Monday, March 18, 2013 5:30PM - 5:42PM |
C40.00014: First-principles study of tilted binding and precession motion of diatomic NO adsorbed to Co-porphyrin on Au(111) Yunhee Chang, Howon Kim, Se-Jong Kahng, Yong-Hyun Kim To understand the bright square ring structures observed in scanning tunneling microscopy (STM) experiments of NO adsorption to CoTPP on Au(111), we performed first-principles calculations within the spin-polarized DFT formulation and DFT-D method; which includes the van der Waals interaction between CoTPP and Au(111). With the correction, the calculated electronic structrues of NO adsorbed CoTPP/Au(111) are well consistent with STM and scanning tunneling spectroscopy (STS) results. Upon NO exposure, three-lobed structures of CoTPP were transformed to bright square ring shapes on Au(111). The adsorbed NO molecule is tilted away from the axial direction. Due to the symmetry of the CoTPP, the adsorbed NO molecule have a precession motion with the energy barrier of 33 meV. This energy barrier is small enough to allow a fast precession motion of the NO molecule even in cryogenic temperatures as low as 80 K. We will discuss details about NO adsorption mechanisms and electronic structures. [Preview Abstract] |
Session C41: Quantum Simulation with Cold Atoms and Molecules
Sponsoring Units: DAMOPRoom: 350
Monday, March 18, 2013 2:30PM - 2:42PM |
C41.00001: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 2:42PM - 2:54PM |
C41.00002: Towards Strongly Interacting Quantum Mixtures of Light Fermions and Heavy Bosons Colin Parker, Shih-Kuang Tung, Jacob Johansen, Cheng Chin, Yujun Wang, Paul Julienne Cold atomic gases have attracted interest as many body quantum simulators due to the tunable nature of the basic parameters, such as lattice depth, particle density, and interaction. However, for single species alkali atoms, simulations are limited by the nature of the interactions between atoms, which is necessarily short-range. Heteronuclear mixtures offer the potential for more exotic interactions, either by formation of cold molecules with a permanent electric dipole moment, or by allowing one species to mediate interactions between the other. With the addition of an optical lattice, an analog of electron-phonon interactions should be possible, with heavy bosons playing the role of material ions. In all of these scenarios, $^{6}\rm{Li}$ and $^{133}\rm{Cs}$ are a compelling choice, as they maximize the mass ratio within the stable alkali family. Furthermore, either species by itself offers significant tunability. Recently, we have discovered a family of interspecies Feshbach resonances between 800 and 900 G in the $^{6}\rm{Li}$-$^{133}\rm{Cs}$ system. These resonances are in a favorable position for the production of dual degenerate quantum gases. The implications for universal few-body states and strategies for sympathetic evaporation to dual degeneracy will be discussed. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C41.00003: Making Dipolar Chain Liquid and Crystal Daw-Wei Wang, Jhih-Shih You Recent experimental progress on ultra-cold polar molecules opens new realms to explore intriguing quantum phase with dipolar interaction. One of possible phenomena is self assembled chain liquid in a stack of strongly confined pancake traps. It is, however, not easy for polar molecules to form a spontaneous chain liquid due to lack of binding mechanism. Here, we propose an adiabatic process and calculate the entropy and resulting temperature for the formation of dipolar chain liquis after adiabatically switching on the electric field and then followed by reducing the optical lattice field. We further investigate the elementary excitations of the dipolar chain crystal and derived the finite temperature KTNHY transition as well as compressibility of such many-body system. We also discuss how such interesting large-composite object can be experimentally measured even above the quantum degenerate temperature. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C41.00004: Pomeranchuk Cooling in Frustrated Magnets -- a Route to Spin Liquids in Cold Atoms David Mross Fermions hopping on a two-dimensional triangular lattice at half filling with moderate repulsive interactions are expected to form an exotic Mott insulating state. This Mott insulator, also known as a quantum spin liquid (QSL), does not order magnetically, nor break any other symmetry. It hosts many gapless excitations which give rise to a parametrically larger low-temperature entropy than in magnetically ordered states. We show that adiabatically tuning the strength of the interaction from the metallic into the QSL state leads to a significant reduction in temperature. This makes such a system a good candidate for accessing novel quantum phases in cold atom experiments. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C41.00005: Symmetry-protected topological phases of alkaline-earth cold fermionic atoms in one dimension Sylvain Capponi, Heloise Nonne, Marion Moliner, Philippe Lecheminant, Keisuke Totsuka We investigate the existence of symmetry-protected topological phases in one-dimensional alkaline-earth cold fermionic atoms with general half-integer nuclear spin I at half filling. Using complementary techniques, we show that SU(2) topological phases are stabilized where the SU(2) symmetry stems from the existence of a metastable excited state in alkaline-earth atoms. On top of these phases, we find the emergence of topological phases with enlarged SU(2I+1) symmetry which depend only on the nuclear spins degrees of freedom. The main physical properties of the latter phases are further studied using a matrix-product state approach. We find that these phases are symmetry-protected topological phases, with respect to inversion symmetry, when I=1/2,5/2,9/2..., which is directly relevant to ytterbium and strontium cold fermions. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C41.00006: Quantum Monte Carlo simulation of the power-law correlated SU(6) quantum magnets with $^{132}$Yb fermions Da Wang, Zi Cai, Congjun Wu We systematically investigate the half-filled SU(2N) Hubbard model on the two dimensional square lattice, using the projector quantum Monte-Carlo method which is free of sign problem. We find that the ground state changes from the long-range Neel order in the SU(2) case to a paramagnetic state in the large N limit, in which no long-range order was observed. Employing Maximum entropy method to analytically continue imaginary-time data, we obtain both one-particle and two-particle spectral functions in the whole Brillouin zone. As N increases, the charge gap is quickly suppressed and the spin-wave feature with linear dispersion around ($\pi$,$\pi$) is finally destroyed. The related physics is discussed as well as some applications to the experiments. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C41.00007: Thermodynamics for reaching SU(N) quantum magnetism in ultracold alkaline earth atoms Kaden Hazzard, Lars Bonnes, Salvatore Manmana, Victor Gurarie, Michael Hermele, Stefan Wessel, Ana Maria Rey Motivated by the prediction that SU($N$) Hubbard models in a large-$N$ limit possess a chiral spin liquid ground state, we investigate how to exploit the large number of degrees of freedom to cool alkaline earth atoms in optical lattices, which are described by the SU($N$) Hubbard model with $N$ as large as 10. Combining analytic high temperature expansions and sophisticated quantum Monte Carlo calculations, we show that the entropy increases with $N$ for $T> t^2/U$ independent of dimension and lattice geometry, and down to temperatures $T=0.1 t^2/U$ in one dimensional chains. As a consequence, when one loads these atoms into optical lattices, the final temperatures can be orders of magnitude colder for $N=10$ than for the usual $N=2$ case. The use of alkaline earths with large $N$ is thus particularly exciting for cold atoms experiments, where achieving low entropy states displaying quantum magnetism remains an outstanding challenge. This finding explains the dramatic cooling seen in recent Yb ($N=6$) experiments [ Y. Tanaka et al., Nature Physics 8, 800 (2012) ]. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C41.00008: Superfluid state of repulsively interacting three-component fermionic atoms in optical lattices Sei-ichiro Suga, Kensuke Inaba We investigate the superfluid state of repulsively interacting three-component (color) fermionic atoms in optical lattices using Feynman diagrammatic approaches and the dynamical mean field theory [1]. When the anisotropy of the three repulsive interactions is strong, atoms of two of the three colors form Cooper pairs and atoms of the third color remain a Fermi liquid. This superfluid emerges close to half filling at which the Mott insulating state characteristic of the three-component repulsive fermions appears [2]. An effective attractive interaction is induced by density fluctuations of the third-color atoms. The superfluid state is stable against the phase separation that occurs in the strongly repulsive region. We determine the phase diagrams in terms of temperature, filling, and the anisotropy of the repulsive interactions.\\[4pt] [1] K. Inaba and S. Suga, \textit{Phys. Rev. Lett.} \textbf{108}, 255301 (2012)\\[0pt] [2] K. Inaba, S. Miyatake, and S. Suga, \textit{Phys. Rev.} A \textbf{82}, 051602(R) (2009). [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C41.00009: Short-Range Correlations and Cooling of Ultracold Fermions in the Honeycomb Lattice Baoming Tang, Thereza Paiva, Ehsan Khatami, Marcos Rigol We study experimentally relevant thermodynamic properties and spin correlations of the Hubbard model in the honeycomb lattice by using determinantal quantum Monte Carlo simulations and numerical linked-cluster expansions. We find that the honeycomb lattice exhibits a more pronounced anomalous region in the double occupancy that leads to stronger adiabatic cooling than in the square lattice. We also find that, at half filling and finite temperature, nearest-neighbor spin correlations can be stronger in the honeycomb lattice than in the square lattice, even in regimes where the ground state in the former is a semimetal or a spin liquid while it is an antriferromagnetic Mott insulator in the latter. The implications of these findings for optical experiments are also discussed. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C41.00010: From Topological Insulator to Topological Superfluid Xiong-Jun Liu, K.T. Law, T.K. Ng Majorana zero bound state exists in the vortex core of a chiral p$+$ip superconductor (SC), which can be driven from an s-wave SC by spin-orbit (SO) coupling. In cold atoms, an s-wave superfluid (SF) can be obtained by Feshbach resonance. Together with the Rashba SO interaction and Zeeman field, the s-wave SF gives rise to a chiral topological SF. However, a Rashba-type SO interaction is not experimentally realistic for cold atom gas. We propose here a novel scheme to study exotic topological phases in an optical lattice, where we can observe both the topological insulating phase and chiral topological SF under different parameter regimes. We examine in detail our prediction with realistic experimental platforms, and show its great feasibility in the experimental realization. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C41.00011: Direct Measurement of the Zak phase in Topological Bloch Bands Marcos Atala, Monika Aidelsburger, Julio Barreiro, Dmitry Abanin, Takuya Kitagawa, Eugene Demler, Immanuel Bloch Geometric phases that characterize the topological properties of Bloch bands play a fundamental role in the modern band theory of solids. Here we report on the direct measurement of the geometric phase acquired by cold atoms moving in one-dimensional optical lattices. Using a combination of Bloch oscillations and Ramsey interferometry, we extract the Zak phase -- the Berry phase acquired during an adiabatic motion of a particle across the Brillouin zone -- which can be viewed as an invariant characterizing the topological properties of the band. For a dimerized optical lattice, which models polyacetylene, we measure a difference of the Zak phase equal to $\pi$ for the two possible polyacetylene phases with different dimerization. This indicates that the two dimerized phases belong to different topological classes, such that for a filled band, domain walls have fractional quantum numbers. Our work establishes a new general approach for probing the topological structure of Bloch bands in optical lattices. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C41.00012: Ground-state properties of spin-imbalanced fermions on square lattices Simone Chiesa, Jie Xu, Shiwei Zhang Atoms in optical lattices offer the opportunity to probe exotic pairing states experimentally. We consider spin-imbalanced fermions on a square lattice. Using Bogoliubov-de Gennes theory and fully self-consistent numerical calculations reaching the thermodynamic limit, we make several predictions of the physics of the ground state and the Fulde-Ferrell-Larkin-Ovchinnikov (FFLO) order. We show, in particular, that the experimentally accessible momentum distribution can be used to identify the hidden Fermi surface of the condensate and the presence of Fermi arcs. There exists a regime of density (away from half-filling) and interactions where the system can support a supersolid order. Finally, we address the crystallography of the inhomogeneous state by determining the leading wave vector as a function of U, density and polarization. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C41.00013: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 5:06PM - 5:18PM |
C41.00014: Enhancing the thermal stability of entanglement between Majorana fermions with dipoles in optical lattices Vito Scarola, Fei Lin Pairing between spinless fermions can generate Majorana fermion excitations. Such excitations may exhibit intriguing properties arising from non-local entanglement, including anyonic braid statistics and enough stability to encode quantum information. But simple models indicate that non-local entanglement between Majorana fermions becomes unstable at non-zero temperatures. We discuss this issue and show that anisotropic interactions between dipolar fermions in optical lattices can be used to form domains that significantly enhance thermal stability. We construct a model of oriented dipolar fermions in a square optical lattice. We explicitly compute the correlation functions defining entanglement. We find that domains established by strong interactions exhibit enhanced entanglement between Majorana fermions over large distances and long times even at finite temperatures. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C41.00015: Structures forming out of quantum seeds in Bose condensates with time-dependent tunnel coupling Florian Marquardt, Clemens Neuenhahn, Anatoli Polkovnikov Quantum fluctuations can be amplified into macroscopic structures in the course of time. This can happen in quench scenarious, where some parameter is time-dependent, and it has wide-ranging implications, from condensed matter physics to cosmology. Here, we investigate the behaviour of a model system of two 1D clouds of bosonic atoms. Specifically, we track the time-evolution of the quantum field that describes the relative phase between the quasi-condensates as a function of position. When suddenly switching on the tunnel-coupling, the subsequent dynamics is first governed by parametric amplification of the initial quantum fluctuations. At a later stage, nonlinear dynamics takes over, and localized phase structures form. These structures, which we term 'quasi-breathers', then stochastically form and decay, and we characterize their features using numerical simulations of the underlying sine-Gordon equation based on the truncated Wigner approximation. We then turn to a scenario where the tunnel coupling is changed smoothly over time. It turns out this can be mapped to the evolution of the quantum sine-Gordon field in an expanding 1+1 dimensional toy universe, giving insight into nonlinear structure formation in cosmology. [Preview Abstract] |
Session C42: Quantum Hall Effect: Materials, Geometries, & nu = 2
Sponsoring Units: FIAPChair: Michael Zudov, University of Minnesota
Room: Hilton Baltimore Holiday Ballroom 3
Monday, March 18, 2013 2:30PM - 2:42PM |
C42.00001: Very Narrow Intersubband Excitations in High Mobility 2DESs Ursula Wurstbauer, Aron Pinczuk, John Watson, Sumit Mondal, Michael J. Manfra, Ken W. West, Loren N. Pfeiffer We report the observation of very narrow collective intersubband excitations (ISBE) of 2D electron systems (2DESs) with ultra-high mobility ($\mu \ge $15x10$^{\mathrm{6}}$ cm$^{\mathrm{2}}$/Vs) in high quality GaAs quantum structures. These findings from resonant inelastic light scattering (RILS) experiments are used as tools for exploration of links between transport mobility and collective electron behavior in 2DES of high perfection. We find that the line-widths of collective ISB modes can be as low as 80$\mu $eV. Comparison of ISBE measurements from several samples exhibits a variation in line-width of more than a factor of two. There is, however, a surprising lack of direct correlation between ISBE line-width with mobility in the range 15$\ge \mu \ge $24x10$^{\mathrm{6}}$ cm$^{\mathrm{2}}$/Vs. Measurements of ISBE by RILS will be evaluated as a method to explore the interplay of quality (as indicated by mobility) and fundamental interactions in the fractional quantum Hall effect. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C42.00002: Growth of high mobility, in-situ back-gated two-dimensional electron gases in GaAs/AlGaAs quantum wells John Watson, Sumit Mondal, Michael Manfra Investigations of the energy scales of many-body phenomena in high mobility two-dimensional electron gases (2DEGs) often require the ability to tune the electron density in a single device. Electrostatic gating is often the method of choice, but traditional device designs are less than ideal. The 2DEG density in top-gated devices is often hysteretic and/or unstable over time due to intervening doping layers, and traditional back-gates applied to mechanically thinned substrates typically require large gate voltages ($\sim$ 100 V) to achieve significant modulation of the electron density due to the large gate-channel separation ($\sim$ 150 $\mu $m). We report on the growth of a series of high mobility 2DEGs in 30 nm GaAs/AlGaAs quantum wells in which the density is modulated by an in-situ grown back-gate. Such in-situ gates can be grown close to the 2DEG ($\sim$ 1 $\mu $m) and without doping layers between the 2DEG and gate. We discuss heterostructure design parameters and device processing conditions leading to low gate leakage currents, low ohmic contact resistances, and high electron mobilities (10$^{7}$ cm$^{2}$/Vs) at low temperature (T $=$ 300 mK). [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C42.00003: Anisotropic Fermi Contour of (001) GaAs Holes in Parallel Magnetic Fields Dobromir Kamburov, Mansour Shayegan, Loren Pfeiffer, Kenneth West, Kirk Baldwin, Roland Winkler We demonstrate tuning the dispersion anisotropy in a high-mobility (001) GaAs two-dimensional hole system through the application of an in-plane magnetic field. We employ surface-strain-induced commensurability oscillations to probe directly the anisotropy and the size of the Fermi contours. The experimental data are in semi-quantitative agreement with the results of a parameter-free energy band model. We find a severe spin-dependent anisotropy of the 2D hole Fermi contours stemming from the combined effect of the strong coupling of the parallel field to the orbital motion, the large spin-orbit interaction in the GaAs valence band, and heavy hole-light-hole coupling. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C42.00004: Anisotropic Fermi Contour of Composite Fermions in Tilted Magnetic Fields Mansour Shayegan, Dobromir Kamburov, Yang Liu, M.A. Mueed, Sukret Hasdemir, Loren Pfeiffer, Kenneth West, Kirk Baldwin We employ surface-strain-induced commensurability oscillations of hole-flux composite fermions to study the effect of parallel magnetic field on their Fermi contours in high-quality C-doped (001) GaAs hole quantum wells. Our measurements reveal that the composite fermion Fermi contours are significantly distorted in the presence of parallel field. Along the direction of the parallel field, the Fermi wave vectors shrink while in the perpendicular direction they grow, and at 25 T parallel field, the relative distortion reaches 50{\%}. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C42.00005: Unconventional Quantum Hall Effect and Tunable Spin Hall Effect in monolayer ${\rm MoS_2}$ Xiao Li, Fan Zhang, Qian Niu We analyze the Landau level (LL) structure in a monolayer ${\rm MoS_2}$ and find a field-dependent unconventional quantum Hall plateau sequence $\nu=\cdots$ $-2M-6$, $-2M-4$, $-2M-2$, $-2M-1$, $\cdots$, $-5$, $-3$, $-1$, $0$, $2$, $4$ $\cdots$. Due to orbital asymmetry, the low-energy Dirac fermions become heavily massive and the LL energies grow linearly with $B$, rather than with $\sqrt{B}$. Spin-orbital couplings break spin and valley degenerate LL's into two distinct groups, and LL crossing effects appear in the valence bands only. In a p-n junction, spin-resolved fractionally quantized conductance appears in two-terminal measurements with a controllable spin-polarized current that can be probed at the interface. We also show that the zero-field spin Hall conductivity has some interesting tunability. For more information, please refer to arXiv: 1207.1205. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C42.00006: Landau level crossing and enhanced g-factor of a 2-dimentional hole gas in Ge/SiGe quantum well Rai Moriya, Yusuke Hoshi, Yoshihisa Inoue, Satoru Masubuchi, Kentaro Sawano, Yasuhiro Shiraki, Noritaka Usami, Tomoki Machida Strained Ge has been received much attention due to its small effective mass and large hole mobility. Moreover, two-dimetional hole gas (2DHG) provide additional band-structure effects such as mixing and non-parabolicity, thus makes this system fascinating for studying quantum transport. On the other hand, the detail study on the quantum Hall effect (QHE) on this system is still missing. We measured angular dependence of QHE in the single layer (SL) and bi-layer (BL) 2DHG in the strained Ge/SiGe quantum well (QW). Clear Landau level (LL) crossing and anti-crossing have been observed in BL 2DHG system. We extracted hole g-factor g$\sim$38 almost independent of Landau filling factor. This g-factor is largest among all the reported value for Ge. Interestingly, observed behavior is distinct form SL 2DHG. LL crossing is not observed on SL QW in our measurement, and estimated g-factor for the single layer 2DHG is g$\sim$1, order of magnitude smaller than BL sample. We think this giant enhancement of effective g-factor in BL 2DHG attribute to the interlayer interaction between the two layers. Our finding reveals the possibility of large g-factor modulation by tuning interlayer coupling in bi-layer 2DHG system. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C42.00007: The Integer and Fractional Quantum Hall Effect in the Lowest Landau Level of Valley Degenerate 2D Electrons on Hydrogen Terminated Si(111) Tomasz M. Kott, Binhui Hu, S.H. Brown, B.E. Kane We report low temperature magnetotransport measurements on a high mobility ($\mu=325\,000\,$cm$^{2}$/V$\,$sec) 2D electron system on a H-terminated Si(111) surface. In Si(111), there are six degenerate, anisotropic valleys which can affect the magnetotransport in unexpected ways. While low magnetic field data indeed show a six-fold valley degenerate system, we observe the integral quantum Hall effect at all filling factors $\nu\leq 6$, indicating a magnetic-field-induced breaking of the valley degeneracy. Additionally, we find that $\nu=2$ develops in an unusually narrow temperature range, which might indicate the existence of a novel broken-symmetry valley phase. Finally, we observe an extended, exclusively even numerator, fractional quantum Hall hierarchy surrounding $\nu=3/2$ with denominators up to 15. This hierarchy is consistent with two-fold valley-degenerate composite fermions. We determine activation energies and provide the first estimate the composite fermion mass in a multi-valley system. [Preview Abstract] |
Monday, March 18, 2013 3:54PM - 4:06PM |
C42.00008: Heat equation approach to geometric changes of the torus Laughlin-state Zhenyu Zhou, Zohar Nussinov, Alexander Seidel We study the second quantized -or guiding center- description of the torus Laughlin state. Our main focus is the change of the guiding center degrees of freedom with the torus geometry, which we show to be generated by a two-body operator. We demonstrate that this operator can be used to evolve the full torus Laughlin state at given modular parameter $\tau$ from its simple (Slater-determinant) thin torus limit, thus giving rise to a new presentation of the torus Laughlin state in terms of its ``root partition'' and an exponential of a two-body operator. This operator therefore generates in particular the adiabatic evolution between Laughlin states on regular tori and the quasi-one-dimensional thin torus limit. We make contact with the recently introduced notion of a ``Hall viscosity'' for fractional quantum Hall states, to which our two-body operator is naturally related, and which serves as a demonstration of our method to generate the Laughlin state on the torus. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C42.00009: Fractional Quantum Hall states on an infinite cylinder: topological properties and edge exponents using the iDMRG Michael Zaletel, Roger Mong, Joel Moore, Frank Pollmann Exact diagonalization has been a tremendously successful approach to quantum Hall numerics, but is limited for certain applications due to finite size effects. We show how the infinite density matrix renormalization group (iDMRG) can be adapted to study microscopic quantum Hall Hamiltonians on a cylinder of infinite length. Using iDMRG to obtain the set of topologically degenerate ground states in their matrix product state form allows us to determine the energy, charge, quantum dimension and topological spin of the quasi-particles. When a trapping potential around the cylinder is introduced the fluid collapses into an infinitely long strip, an ideal geometry for extracting the central charge and edge exponents without the usual finite size effects. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C42.00010: Coherent State Wave-Functions on a Torus with a Constant Magnetic Field Mikael Fremling We study two alternative definitions of localized states in the lowest Landau level (LLL) on a torus. The first is to project a delta function onto the LLL, while the other is to put all the $N$ zeros of the wave function at the same point, thus localizing the function at the vicinity of the antipodal point. These two families of localized states both have many properties in common with the coherent states on the plane and on the sphere, viz. a simple resolution of unity and a self-reproducing kernel. However, only the projected delta function gives maximally localized states. We also show how to project expressions containing holomorphic derivatives and nonholomorphic coordinates onto the LLL, and briefly discuss the importance of this for constructing hierarchical QH wave functions. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C42.00011: Exactly solvable 1D lattice model for the Laughlin states on torus geometries Zheng-Yuan Wang, Masaaki Nakamura We study the fractional quantum Hall (FQH) states on a thin torus where the 2D continuum system in a magnetic field can be reduced into a 1D lattice model with short-range interaction. We introduce a minimal model with exact ground states in Laughlin series (flling factors of the lowest Landau level $\nu=1/q$).The model has the same degrees of freedom as that of the pseudo-potential for the Laughlin wave function, and it naturally derives general properties of the Laughlin wave function such as the $Z_2$ properties (the FQH effect is limited only odd q for fermions). The obtained exact ground states have high overlaps with the Laughlin states and well describe their properties, the incompressibility and the fractional charge excitations. The physical quantities such as the correlation functions are calculated analytically by using matrix product method. We also compute the entanglement spectrum and show the diamond structure of the FQH states on torus geometries. Thus, our model gives a simple reference model to describe the Laughlin states. (arXiv:1206.3071) [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C42.00012: Advantages of studying the fractional quantum Hall effect in a cylindrical geometry Sonika Johri, Z. Papic, Zi-xiang Hu, R.N. Bhatt, Peter Schmitteckert We report results of numerical studies of the fractional quantum Hall effect in the cylindrical geometry using exact diagonalization as well as density-matrix renormalization group techniques. We provide convergence benchmarks that illustrate the advantage of the cylinder over the sphere, based on the number of sweeps and basis elements that need to be kept in order to achieve the desired accuracy for the ground state at $\nu=5/2$ filling [1]. Further, we address several issues of interest that can be studied more directly using the cylindrical geometry. These include (i) transitions between the hierarchy of fractional quantum Hall states as a function of the confining potential; (ii) quasiparticle tunneling between the two edges of the cylinder; and (iii) generalized off-diagonal long-range order as a probe of the local geometry fluctuations in fractional quantum Hall liquids due to confinement potential or mass anisotropy.\\[4pt] [1] Zi-Xiang Hu, Z. Papic, S. Johri, R. N. Bhatt, Peter Schmitteckert, Phys. Lett. A \textbf{376}, 2157 (2012) [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C42.00013: Shot Noise Signatures of Charge Fractionalization in the $\nu=2$ Quantum Hall edge Mirco Milletari', Bernd Rosenow We investigate the effect of non-equilibrium and interactions on shot noise in $\nu=2$ quantum Hall edges, where interactions between the two co-propagating edge modes are expected to give rise to charge fractionalization. We consider a setup consisting of a Hall bar pinched by two Quantum point contacts (QPCs). The first QPC selectively drives out of equilibrium the outer edge mode only, which then interacts with the unbiased inner one over the distance between the two QPCs. We describe the edge modes by two coupled chiral Luttinger liquids, and employ the method of non-equilibrium bosonization to study the relaxation dynamics of the inner one. We find that even asymptotically the edge distribution function does not thermalize, but instead depends in a sensitive way on the interaction strength between the two edge modes. We compute shot noise and Fano factor from the asymptotic distribution function of the inner edge mode at the second QPC, and from comparison with a reference model of fractionalized excitations we find that the Fano factor can be close to the value of the fractionalized charge. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C42.00014: Anomalous Energy Gaps of the Odd Denominator Fractional Quantum Hall States in Different Spin Branches of the Second Landau Level Ethan Kleinbaum, Ashwani Kumar, Michael Manfra, Loren Pfeiffer, Ken West, Gabor Csathy The nature of the fractional quantum Hall states forming in the second Landau level, including those with odd denominator Landau level filling factors, remain unknown. Conjectures of nonconventional origins have lead to the investigation of several odd denominator states in the lower spin branch of the second Landau level, such as the ones at $\nu$=2+1/3 and 2+2/3. We report first measurements of the energy gaps in the upper spin branch of the second Landau level at $\nu$=3+1/3, 3+2/3, 3+1/5 and 3+4/5. A comparison of the energy gaps of these states to those of their counterparts in the lower spin branch reveals a surprising reversal in the relative magnitudes of the states at partial filling factors 1/3 and 1/5. We explore possible explanations of this unusual observation. The work at Purdue was supported by the DOE BES contract no. DE-SC0006671. K.K. West and L.N. Pfeiffer acknowledge the support of the Princeton NSF-MRSEC and the Moore Foundation. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C42.00015: Ground states at the filling factors $\nu=7/3$ and $8/3$ in the second Landau level Toru Ito, Naokazu Shibata, Kentaro Nomura The Laughlin state successfully describe the fractional quantum Hall state at $\nu=1/3$ in the lowest Landau level. However, it is known that the Laughlin wavefunction has little overlap with the ground state wavefunction at $\nu=7/3$ in the second Landau level. The ground states at $\nu=7/3$ and $8/3$ are still unknown.To determine the ground states at these fillings, we use the exact diagonalization method and density-matrix renormalization group (DMRG) method. We calculate overlaps between the ground state and the trial wavefunctions, the ground state energies, and the ground-state pair-correlation functions. We find that the ground state wavefunction at $\nu=8/3$ have very high overlap between the parafermion state, and the ground state energy of the parafermion state is lower than that of the Laughlin state. Further, the short-range structures of pair-correlation functions are significantly different from that of the Lauglin state.From these results, we consider that the parafermion state is a strong candidate of the ground state at $\nu=7/3$ and $\nu=8/3$. [Preview Abstract] |
Monday, March 18, 2013 5:30PM - 5:42PM |
C42.00016: 7/3 fractional quantum Hall effect: topology, trion excitations and edge states Ajit C. Balram, Ying-Hai Wu, G.J. Sreejith, Arkadiusz W\'{o}js, J.K. Jain Exact diagonalization studies on finite systems show that the quasihole and quasiparticle excitations in the 7/3 fractional quantum Hall (FQH) state are qualitatively distinct from those of the 1/3 state, suggesting the possibility of different topological origins for the two states. We perform composite-fermion diagonalization on larger systems and also evaluate the entanglement spectrum, which shows that in spite of these strong finite size deviations, the 7/3 and 1/3 FQH states have the same topological structure in the thermodynamic limit. Nonetheless, there are substantial non-topological differences between the two, arising from the stronger residual interaction between composite fermions at 7/3. In particular, we show that the lowest energy charged excitations of the 7/3 state are complex trions of composite fermions, which have a much larger size than the charged excitations at 1/3. We discuss many observable consequences of our results. [Preview Abstract] |
Session C43: Focus Session: Plyler, Broida, Langmuir, and Research in an Undergraduate Institution prizes
Sponsoring Units: DCPChair: James Skinner, University of Wisconsin
Room: Hilton Baltimore Holiday Ballroom 2
Monday, March 18, 2013 2:30PM - 3:06PM |
C43.00001: Earle K. Plyler Prize for Molecular Spectroscopy \& Dynamics Lecture: Broadband Rotational Spectroscopy for Chemical Kinetics, Molecular Structure, and Analytical Chemistry Invited Speaker: Brooks Pate Advances in high-speed digital electronics have enabled a new generation of molecular rotational spectroscopy techniques that provide instantaneous broadband spectral coverage. These techniques use a chirped excitation pulse to coherently excite the molecular sample over a spectral bandwidth of 10 GHz or larger through rapid passage. The subsequent time-domain emission is recorded using high-speed digitizers (up to 100 Gigasample/s) and the frequency domain spectrum is produced by fast Fourier transformation. The chirped-pulse Fourier transform (CP-FT) method has been implemented in the microwave frequency range (2-40 GHz) for studies of cold samples in pulsed jet sources and in the mm-wave/terahertz (THz) frequency range for studies of samples at room-temperature. The method has opened new applications for molecular rotational spectroscopy in the area of chemical kinetics where dynamic rotational spectroscopy is used to measure the rates of unimolecular isomerization reactions in highly excited molecules prepared by pulsed infrared laser excitation. In these applications, the isomerization rate is obtained from an analysis of the overall line shapes which are modified by chemical exchange leading to coalescence behavior similar to the effect in NMR spectroscopy. The sensitivity of the method and the ability to extend it to low frequency (2-8 GHz) have significantly increased the size range of molecules and molecular clusters for structure determination using isotopic substitution to build up the 3D molecular structures atom-by-atom. Application to the structure of water clusters with up to 15 water molecules will be presented. When coupled with advances in solid-state mm-wave/THz devices, this method provides a direct digital technique for analytical chemistry of room-temperature gases based on molecular rotational spectroscopy. These high-throughput methods can analyze complex sample mixtures with unmatched chemical selectivity and short analysis times. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:42PM |
C43.00002: Herbert P. Broida Prize Lecture: Probing chemical dynamics with negative ion photodetachment Invited Speaker: Daniel Neumark Photoelectron spectroscopy and its variants have been used in our laboratory to study diverse phenomena in chemical dynamics, including transition state spectroscopy, the electronic and vibrational spectroscopy of clusters, the photodissociation of reactive free radicals, hydrated electron dynamics in clusters and liquid jets, and the ultrafast dynamics of helium nanodroplets. This talk will focus on two examples of this type of work: slow electron velocity map imaging (SEVI) of trapped and cooled negative ions, and time-resolved photoelectron spectroscopy (TRPES) of negative ions. SEVI of cold ions represents a powerful means of performing high resolution photoelectron spectroscopy on complex species. Time-resolved radiation chemistry in nucleobases will be carried out with TRPES. In this work, starting with iodide-nucleobase complexes, we inject electrons into low-lying unoccupied orbitals of the nucleobase and follow the ensuing dynamics. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 4:18PM |
C43.00003: Irving Langmuir Prize in Chemical Physics Lecture: The Inner Machinery of Single Molecules: resolving the unresolved with the STM Invited Speaker: Wilson Ho The scanning tunneling microscope (STM) is a unique instrument that can probe and induce changes in a molecule with atomic scale resolution. Its operation is based on the current that flows between the tip and the substrate with the molecule sandwiched in between. Therefore, the STM can be used to understand the coupling of electrons to the different states and excitations in the molecule and to investigate the influence on them by its environment. From the spatial and energy dependences of the coupling to the charge, spin, and nuclear motions in the molecule, verification of and new insights into the quantum mechanical properties of molecules can be obtained, including the discovery of new conduction and energy transfer mechanisms. This understanding of electron-molecule interactions with the STM enables rational ways to control chemistry and the exploration of novel physical technologies based on molecules. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:54PM |
C43.00004: Prize for a Faculty Member for Research in an Undergraduate Institution Lecture: Studies of the Structure and Properties of Oxide Glasses with Applications Invited Speaker: Mario Affatigato This presentation will summarize the research work carried out by Prof. Affatigato and his undergraduate students over the past eighteen years. It will focus on some highlighted projects, namely: the determination of glass structure using laser ionization time of flight mass spectrometry; studies of glass modification by laser irradiation; bactericidal glass; and, most recently, glass manufacturing by aerolevitation and glasses for particle detection. The work on mass spectrometry will cover a broad range of oxide glass systems, including the borates, borosilicates, germanate, and gallate families. It has provided novel insights into the structure of glasses at intermediate length scales, measurements that are hard to obtain by any other techniques. The studies of glass structure modification will primarily center on vanadate glasses, which also form the basis for more recent electronic conductivity work at the heart of new particle calorimeter detectors. This project shows the power of serendipity and the strong capabilities of undergraduate students involved in advanced work and state of the art instrumentation. Bactericidal glass illustrates a nice collaborative project that involved simple borate glasses and helped pioneer their use in the human body---work that has led to significant medical developments by other colleagues and researchers. Finally, the aerolevitation project gives new insight into the crystallization and property behavior of glasses and melts at very high temperatures (from 2000 $^{\circ}$C to 3000 $^{\circ}$C). [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:30PM |
C43.00005: Presentation of 2013 DCP APS Fellows Donald Truhlar The new APS Fellows in the Division of Chemical Physics will be introduced. [Preview Abstract] |
Session C44: Focus Session: Population and Evolutionary Dynamics III
Sponsoring Units: DBIO GSNPRoom: Hilton Baltimore Holiday Ballroom 1
Monday, March 18, 2013 2:30PM - 3:06PM |
C44.00001: The physics of evolution and biodiversity: Old answers to new questions, and more... Invited Speaker: Yaneer Bar-Yam In recent years there has been a contentious battle among prominent biologists about the validity of Kin versus Group Selection as models of evolutionary biology. I will show that the controversy is widely misunderstood and is rooted in the mean field basis of RA Fisher's statistical treatment of population biology, which is the origin of the ``gene centered view''--kin selection and inclusive fitness--but is also often used in analysis of group selection. As in statistical physics, symmetry breaking and pattern formation, and their spatial realizations, result in breakdown of the mean field approximation and the widely believed mathematical 'proofs' of the universality of the gene centered view. Our simulation and analysis (http://necsi.edu/research/evoeco/) of the role of this breakdown in spatial ecology, biodiversity, speciation and altruism, suggest there is an entire field of new opportunities to explore in the implications for evolutionary theory. The difference between biodiversity of wildtype populations and narrowly homogeneous laboratory types manifest the self-consistency of theoretical assumptions and laboratory experiments performed under conditions in which the mean field approximation applies. In contrast, the highly diverse natural populations manifest the role of boundaries between types (hybrid zones), speciation by spontaneous clustering, and spatio-temporal dynamics in predator prey systems. Altruism arises in evolving populations due to the spontaneous dynamic group formation and the heritability of environmental conditions created by parents and experienced by offspring (niche construction with symmetry breaking), so that altruists are better able to survive over the long term than selfish variants. Many versions of the mean field approximation that are traditionally used eliminate these spatio-temporal processes, leading to false analytic conclusions about their impossibility. The traditional view of altruism influenced views also of individuals in their relationship to society. In addition to the basic reframing of the origin of altruism, the role of space in evolution has important implications for understanding global dangers today, including pandemics driven by evolution of virulent pathogens that escape death through long-range transportation, and economic or environmental overexploitation when globalization enables exploiters to escape the consequences of their actions. References: 1) Y. Bar-Yam, Dynamics of Complex Systems (Perseus Press, 1997) Chapter 6 http://www.necsi.edu/publications/dcs/ 2) Y. Bar-Yam, Formalizing the gene-centered view of evolution, Advances in Complex Systems 2, 277 (1999). 3) E. Rauch, H. Sayama, Y. Bar-Yam, Relationship between measures of fitness and time scale in evolution, Phys Rev Lett 88, 228101 (2002). 4) J. K. Werfel, Y. Bar-Yam, The evolution of reproductive restraint through social communication, PNAS 101, 11019 (2004). 5) E. M. Rauch, Y. Bar-Yam, Long-range interactions and evolutionary stability in a predator-prey system, Physical Review E 73, 020903 (2006). 6) C. Goodnight, E. Rauch, H. Sayama, M. A. M. De Aguiar, M. Baranger,Y. Bar-Yam, Complexity 13, 5, 23 (2008) 7) M.A.M. de Aguiar, M. Baranger, E.M. Baptestini, L. Kaufman, Y. Bar-Yam, Global Patterns of Speciation and Diversity, Nature 460, 384 (2009). 8) B. C. Stacey, A. Gros, Y. Bar-Yam, Beyond the Mean Field in Host-Pathogen Spatial Ecology. arXiv:1110.3845, October 5, 2011 9) G. Wild, A. Gardner, S. A. West, Adaptation and the evolution of parasite virulence in a connected world. Nature 459:983 (18 June 2009). 10) M.J. Wade, D.S. Wilson, C. Goodnight, D. Taylor, Y. Bar-Yam, M.A.M. de Aguiar, B. Stacey, J. Werfel, G.A. Hoelzer, E.D. Brodie III, P. Fields, F. Breden, T.A. Linksvayer, J.A. Fletcher, P.J. Richerson, J.D. Bever, J.D. Van Dyken, P. Zee, Multilevel and kin selection in a connected world. Nature 463, E8 (2010). 11) M. A. Nowak, C. E. Tarnitam, E. O. Wilson, The evolution of eusociality, Nature 466, 1057 (26 August 2010) 12) P. Abbott, et al, Inclusive fitness theory and eusociality, Nature 471, E1 (24 March 2011) [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C44.00002: Universality in a Neutral Evolution Model Dawn King, Adam Scott, Nevena Maric, Sonya Bahar Agent-based models are ideal for investigating the complex problems of biodiversity and speciation because they allow for complex interactions between individuals and between individuals and the environment. Presented here is a ``null'' model that investigates three mating types -- assortative, bacterial, and random -- in phenotype space, as a function of the percentage of random death $\delta $. Previous work has shown phase transition behavior in an assortative mating model with variable fitness landscapes as the maximum mutation size ($\mu )$ was varied (Dees and Bahar, 2010). Similarly, this behavior was recently presented in the work of Scott et al. (submitted), on a completely neutral landscape, for bacterial-like fission as well as for assortative mating. Here, in order to achieve an appropriate ``null'' hypothesis, the random death process was changed so each individual, in each generation, has the same probability of death. Results show a continuous nonequilibrium phase transition for the order parameters of the population size and the number of clusters (analogue of species) as $\delta$ is varied for three different mutation sizes of the system. The system shows increasing robustness as $\mu $ increases. Universality classes and percolation properties of this system are also explored. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C44.00003: Characterizing Phase Transitions in a Model of Neutral Evolutionary Dynamics Adam Scott, Dawn King, Sonya Bahar An evolutionary model was recently introduced for sympatric, phenotypic evolution over a variable fitness landscape with assortative mating (Dees {\&} Bahar 2010). Organisms in the model are described by coordinates in a two-dimensional phenotype space, born at random coordinates with limited variation from their parents as determined by a mutation parameter, mutability. The model has been extended to include both neutral evolution and asexual reproduction in Scott et al (submitted). It has been demonstrated that a second order, non-equilibrium phase transition occurs for the temporal dynamics as the mutability is varied, for both the original model and for neutral conditions. This transition likely belongs to the directed percolation universality class. In contrast, the spatial dynamics of the model shows characteristics of an ordinary percolation phase transition. Here, we characterize the phase transitions exhibited by this model by determining critical exponents for the relaxation times, characteristic lengths, and cluster (species) mass distributions. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C44.00004: Theory for the Emergence of Modularity in Complex Systems Michael Deem, Jeong-Man Park Biological systems are modular, and this modularity evolves over time and in different environments. A number of observations have been made of increased modularity in biological systems under increased environmental pressure. We here develop a theory for the dynamics of modularity in these systems. We find a principle of least action for the evolved modularity at long times. In addition, we find a fluctuation dissipation relation for the rate of change of modularity at short times. We discuss a number of biological and social systems that can be understood with this framework. The modularity of the protein-protein interaction network increases when yeast are exposed to heat shock, and the modularity of the protein-protein networks in both yeast and E. coli appears to have increased over evolutionary time. Food webs in low-energy, stressful environments are more modular than those in plentiful environments, arid ecologies are more modular during droughts, and foraging of sea otters is more modular when food is limiting. The modularity of social networks changes over time: stock brokers instant messaging networks are more modular under stressful market conditions, criminal networks are more modular under increased police pressure, and world trade network modularity has decreased [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 4:18PM |
C44.00005: The Evolution of Biological Complexity in Digital Organisms Invited Speaker: Charles Ofria When Darwin first proposed his theory of evolution by natural selection, he realized that it had a problem explaining the origins of traits of ``extreme perfection and complication'' such as the vertebrate eye. Critics of Darwin's theory have latched onto this perceived flaw as a proof that Darwinian evolution is impossible. In anticipation of this issue, Darwin described the perfect data needed to understand this process, but lamented that such data are ``scarcely ever possible'' to obtain. In this talk, I will discuss research where we use populations of digital organisms (self-replicating and evolving computer programs) to elucidate the genetic and evolutionary processes by which new, highly-complex traits arise, drawing inspiration directly from Darwin's wistful thinking and hypotheses. During the process of evolution in these fully-transparent computational environments we can measure the incorporation of new information into the genome, a process akin to a natural Maxwell's Demon, and identify the original source of any such information. We show that, as Darwin predicted, much of the information used to encode a complex trait was already in the genome as part of simpler evolved traits, and that many routes must be possible for a new complex trait to have a high probability of successfully evolving. In even more extreme examples of the evolution of complexity, we are now using these same principles to examine the evolutionary dynamics the drive major transitions in evolution; that is transitions to higher-levels of organization, which are some of the most complex evolutionary events to occur in nature. Finally, I will explore some of the implications of this research to other aspects of evolutionary biology and as well as ways that these evolutionary principles can be applied toward solving computational and engineering problems. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C44.00006: Intervention-Based Stochastic Disease Eradication Lora Billings, Luis Mier-y-Teran-Romero, Brandon Lindley, Ira Schwartz Disease control is of paramount importance in public health with infectious disease extinction as the ultimate goal. Intervention controls, such as vaccination of susceptible individuals and/or treatment of infectives, are typically based on a deterministic schedule, such as periodically vaccinating susceptible children based on school calendars. In reality, however, such policies are administered as a random process, while still possessing a mean period. Here, we consider the effect of randomly distributed intervention as disease control on large finite populations. We show explicitly how intervention control, based on mean period and treatment fraction, modulates the average extinction times as a function of population size and the speed of infection. In particular, our results show an exponential improvement in extinction times even though the controls are implemented using a random Poisson distribution. Finally, we discover those parameter regimes where random treatment yields an exponential improvement in extinction times over the application of strictly periodic intervention. The implication of our results is discussed in light of the availability of limited resources for control. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C44.00007: Effect of disease-induced mortality on structural network properties Lazaros Gallos, Nina Fefferman We study epidemic processes on complex networks, where infected nodes are either removed permanently or they can potentially recover. The process influences the localization of the infection by creating buffered zones, which in turn isolate large parts of the network. We show that there is an interesting interplay between the percentage and location of the removed population with the network structural integrity, even before reaching the critical point of total network disruption. The model can be used to determine the impact of disease-induced mortality to extinction of organisms, where destruction of the social structure can lead to loss of the species ability to recover. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C44.00008: Contagion dynamics in time-varying metapopulation networks Andrea Baronchelli, Suyu Liu, Nicola Perra The metapopulation framework is adopted in a wide array of disciplines to describe systems of well separated yet connected subpopulations. The subgroups/patches are often represented as nodes in a network whose links represent the migration routes among them. The connections are usually considered as static, an approximation that is appropriate for the description of many systems, such as cities connected by human mobility, but it is obviously inadequate in those real systems where links evolve in time on a faster timescale. In the case of farmed animals, for example, the connections between each farm/node vary in time according to the different stages of production. Here we address this case by investigating simple contagion processes on temporal metapopulation networks. We focus on the SIR process, and we determine the mobility threshold for the onset of an epidemic spreading in the framework of activity-driven network models. Remarkably, we find profound differences from the case of static networks, determined by the crucial role played by the dynamical parameters defining the average number of instantaneously migrating individuals. Our results confirm the importance of addressing the time-varying properties of complex networks pointed out by the recent literature. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C44.00009: Controlling Contagion Processes in Time Varying Networks suyu liu, Nicola Perra, Marton Karsai, Alessandro Vespignani The vast majority of strategies aimed at controlling contagion and spreading processes on networks consider the connectivity pattern of the system as quenched. In this paper, we consider the class of activity driven networks to analytically evaluate how different control strategies perform in time-varying networks. We consider the limit in which the evolution of the structure of the network and the spreading process are simultaneous yet independent. We analyze three control strategies based on node's activity patterns to decide the removal/immunization of nodes. We find that targeted strategies aimed at the removal of active nodes outperform by orders of magnitude the widely used random strategies. In time-varying networks however any finite time observation of the network dynamics provides only incomplete information on the nodes' activity and does not allow the precise ranking of the most active nodes as needed to implement targeted strategies. Here we develop a control strategy that focuses on targeting the egocentric time-aggregated network of a small control group of nodes.The presented strategy allows the control of spreading processes by removing a fraction of nodes much smaller than the random strategy while at the same time limiting the observation time on the system. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C44.00010: Global and local threshold in a metapopulational SEIR model with quarantine Marcelo F.C. Gomes, Luca Rossi, Ana Pastore y Piontti, Alessandro Vespignani Diseases which have the possibility of transmission before the onset of symptoms pose a challenging threat to healthcare since it is hard to track spreaders and implement quarantine measures. More precisely, one main concerns regarding pandemic spreading of diseases is the prediction--and eventually control--of local outbreaks that will trigger a global invasion of a particular disease. We present a metapopulation disease spreading model with transmission from both symptomatic and asymptomatic agents and analyze the role of quarantine measures and mobility processes between subpopulations. We show that, depending on the disease parameters, it is possible to separate in the parameter space the local and global thresholds and study the system behavior as a function of the fraction of asymptomatic transmissions. This means that it is possible to have a range of parameters values where although we do not achieve local control of the outbreak it is possible to control the global spread of the disease. We validate the analytic picture in data-driven model that integrates commuting, air traffic flow and detailed information about population size and structure worldwide. [Preview Abstract] |
Monday, March 18, 2013 5:18PM - 5:30PM |
C44.00011: Epidemic dynamics on a risk-based evolving social network Shadrack Antwi, Leah Shaw Social network models have been used to study how behavior affects the dynamics of an infection in a population. Motivated by HIV, we consider how a trade-off between benefits and risks of sexual connections determine network structure and disease prevalence. We define a stochastic network model with formation and breaking of links as changes in sexual contacts. Each node has an intrinsic benefit its neighbors derive from connecting to it. Nodes' infection status is not apparent to others, but nodes with more connections (higher degree) are assumed more likely to be infected. The probability to form and break links is determined by a payoff computed from the benefit and degree-dependent risk. The disease is represented by a SI (susceptible-infected) model. We study network and epidemic evolution via Monte Carlo simulation and analytically predict the behavior with a heterogeneous mean field approach. The dependence of network connectivity and infection threshold on parameters is determined, and steady state degree distribution and epidemic levels are obtained. We also study a situation where system-wide infection levels alter perception of risk and cause nodes to adjust their behavior. This is a case of an adaptive network, where node status feeds back to change network geometry. [Preview Abstract] |
Session C45: Focus Session: Physics of Biomineralization
Sponsoring Units: DBIO DMPChair: Pupa Gilbert, University of Wisconsin at Madison
Room: Hilton Baltimore Holiday Ballroom 4
Monday, March 18, 2013 2:30PM - 3:06PM |
C45.00001: Gradual ordering in mollusk shell nacre: theoretical modeling and experimental results Invited Speaker: Susan N. Coppersmith Biominerals have attracted the attention of materials scientists, biologists, and mineralogists as well as physicists~because of their remarkable mechanical properties and incompletely elucidated formation mechanisms. Nacre, or mother-of-pearl, is a layered biomineral composite that is widely studied because of its self-assembled, efficient and accurately ordered architecture results in remarkable resistance to fracture. New experimental tools enable us to obtain new information about the organization and structure of the mineral~tablets in nacre. Our experimental and theoretical investigations yield strong evidence that orientational ordering~of these tablets is the result of dynamical self-organization. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C45.00002: Structural and Optical Analysis of the Bio-mineralized Photonic Structures in the Shell of the Blue- Rayed Limpet \textit{Ansates Pellucida} Mathias Kolle, Ling Li, Stefan Kolle, James Weaver, Christine Ortiz, Joanna Aizenberg Many terrestrial biological organisms have evolved a variety of micro- and nanostructures that provide unique optical signatures including distinctive, dynamic coloration, high reflectivity or superior whiteness. Recently, photonic structures have also been found in the shells or spines of marine animals. Life under water imposes very distinct constraints on organisms relying on visual communication and on the designs and the materials involved in aquatic photonic structures. Here, we present a bio-mineralized calcium carbonate - based crystalline photonic system buried in the shell of the blue-rayed limpet \textit{Ansates pellucida}. The structure consists of a layered stack of calcite lamellae with uniform thickness and inter-lamella spacing. This arrangement lies at the origin of the blue-green iridescence of the organism's characteristic stripes, which is caused by multilayer interference. The multilayer is supported by a disordered array of spherical particles with an average diameter of 300nm, likely serving to enhance the contrast of the blue stripes. We present a full structural and optical characterization of this bio-mineralised marine photonic system, supported by optical FDTD modeling. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C45.00003: Time-resolved evolution of short- and long-range order during the transformation of amorphous calcium carbonate to calcite in the sea urchin embryo Chantel Tester, Ching-Hsuan Wu, Minna Krejci, Laura Mueller, Alex Park, Barry Lai, Si Chen, Chengjun Sun, Mahaling Balasubramanian, Derk Joester The biological use of amorphous mineral precursors is thought to be directly related to the ability to create single crystalline, yet composite materials with complex shapes that are beyond our synthetic capabilities. Despite considerable effort in recent years, it has not been possible to capture the mechanistic detail of the disorder-to-order transformation that is a key element of this process. This is largely due to lack of sensitivity, lack of temporal and spatial resolution, and artifacts of sample preparation. To overcome these challenges we use strontium as a probe for X-ray absorption spectroscopy (XAS). In pulse-chase experiments, sea urchin embryos incorporate Sr2$+$ from Sr-enriched seawater into small volumes of the developing endoskeleton. During the chase, the transformation of the newly deposited amorphous mineral is characterized by Sr-K$\alpha $ XAS of cryo-frozen whole embryos. We find that the initial mineral has short-range order resembling hydrated amorphous calcium carbonate. Within 3h, the short-range order of calcite is adopted, with long-range order developing over the next 20h. Pulse-chase experiments combined with heavy element labeling can be used in numerous mineralizing systems to study phase transformations during biological crystal growth. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C45.00004: Reaction-diffusion controlled growth of complex structures Willem Noorduin, L. Mahadevan, Joanna Aizenberg Understanding how the emergence of complex forms and shapes in biominerals came about is both of fundamental and practical interest. Although biomineralization processes and organization strategies to give higher order architectures have been studied extensively, synthetic approaches to mimic these self-assembled structures are highly complex and have been difficult to emulate, let alone replicate. The emergence of solution patterns has been found in reaction-diffusion systems such as Turing patterns and the BZ reaction. Intrigued by this spontaneous formation of complexity we explored if similar processes can lead to patterns in the solid state. We here identify a reaction-diffusion system in which the shape of the solidified products is a direct readout of the environmental conditions. Based on insights in the underlying mechanism, we developed a toolbox of engineering strategies to deterministically sculpt patterns and shapes, and combine different morphologies to create a landscape of hierarchical multi scale-complex tectonic architectures with unprecedented levels of complexity. These findings may hold profound implications for understanding, mimicking and ultimately expanding upon nature's morphogenesis strategies, allowing the synthesis of advanced highly complex microscale materials and devices. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 4:18PM |
C45.00005: Biomimetic control over size, shape and aggregation in magnetic nanoparticles Invited Speaker: Nico Sommerdijk Magnetite (Fe$_{3}$O$_{4})$ is a widespread magnetic iron oxide encountered in both geological and biomineralizing systems, which also has many technological applications, e.g. in ferrofluids, inks, magnetic data storage materials and as contrast agents in magnetic resonance imaging. As its magnetic properties depend largely on the size and shape of the crystals, control over crystal morphology is an important aspect in the application of magnetite nanoparticles, both in biology and synthetic systems. Indeed, in nature organisms such as magnetotactic bacteria demonstrate a precise control over the magnetite crystal morphology, resulting in uniform and monodisperse nanoparticles. The magnetite formation in these bacteria is believed to occur through the co-precipitation of Fe(II) and Fe(III) ions, which is also the most widely applied synthetic route in industry. Synthetic strategies to magnetite with controlled size and shape exist, but involve high temperatures and rather harsh chemical conditions. However, synthesis via co-precipitation generally yields poor control over the morphology and therefore over the magnetic properties of the obtained crystals. Here we demonstrate that by tuning the reaction kinetics we can achieve biomimetic control over the size and shape of magnetite crystals but also over their organization in solution as well as their magnetic properties. We employ amino acids-based polymers to direct the formation of magnetite in aqueous media at room temperature via both the co-precipitation and the partial oxidation method. By using 2D and 3D (cryo)TEM it is shown that acidic amino acid monomers are most effective in affecting the magnetite particle morphology. By changing the composition of the polymers we can tune the morphology, the dispersibility as well as the magnetic properties of these nanoparticles. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C45.00006: Understanding the biological stabilization of ferrihydrite and its transformation to magnetite Lyle Gordon, Derk Joester The biosynthesis of magnetite in the chiton tooth begins with the formation of ferrihydrite, which is transformed into magnetite. This strategy, which employs crystallization of a precursor into the desired polymorph, is generalized across a range of organisms. However, the specific biological factors that control the transformation are not known. Our results employing atom probe tomography of chiton tooth magnetite revealed the presence of acidic proteins binding sodium and magnesium ions associated with chitin nanofibers. Using a model system we are investigating the influence of organic and inorganic additives on the stabilization of ferrihydrite and the transformation to magnetite. I will discuss the influence of a range of organic and inorganic additives on the formation and transformation of ferrihydrite within the gel. We have found that acidic polymers stabilize ferrihydrite and prevent the formation of the crystalline polymorphs. Transformation of the ferrihydrite to magnetite upon addition of ferrous iron is observed as early as 30 minutes. Taken together, the contribution of these factors to magnetite biomineralization in the presence of an organic matrix will help to elucidate biological mechanisms for nucleation, stabilization, and transformation of iron oxides. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C45.00007: Probing physical and chemical changes in cortical bone due to osteoporosis and type 2 diabetes by solid-state NMR Donghua Zhou, Amanda Taylor, Beth Rendina, Brenda Smith Approximately 1.5 million fractures occur each year in the U.S. due to osteoporosis, which is characterized by decreased bone mineral density and deterioration of bone micro-architecture. On the other hand, type 2 diabetes also significantly increases fracture risks, despite having a normal or even higher bone mineral density. Solid-state NMR has been applied to bone tissues from normal and disease-inflicted mouse models to study structural and chemical dynamics as the disease progresses. Proton relaxation experiments were performed to measure water populations in the bone matrix and pores. Collagen-bound water has strong influence on bone resilience, while water content in the pores reveals amount and size of pores from micro- to millimeter range. Other biochemical and atomic-scale structural alterations in the mineral and organic phases and their interface were investigated by proton, phosphorus, and carbon NMR spectroscopy. Experiments were designed to individually detect different types of phosphorus environments: near the mineral surface, similar to hydroxyapatite, and deficient of hydrogens due to substitution of the hydroxyl group by other ions. A new method was also developed for accurate quantification of each phosphorus species. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 5:18PM |
C45.00008: Biomineralization and Biomimetics Invited Speaker: Joanna Aizenberg |
Session C46: SPS Undergraduate III
Sponsoring Units: SPSChair: Melissa Hoffman, Drew University
Room: Hilton Baltimore Holiday Ballroom 5
Monday, March 18, 2013 2:30PM - 2:42PM |
C46.00001: X-ray Magnetic Circular Dichroism Study of La$_{\mathrm{(1-x)}}$Sr$_{\mathrm{x}}$MnO$_3$ Thin Films Xilei Kuang, Zhuyun Xiao, Eun Ju Moon, Steven May, David Keavney, Yaohua Liu, X.M. Cheng The perovskite manganite La$_{\mathrm{(1-x)}}$Sr$_{\mathrm{x}}$MnO$_3$ (LSMO) has attracted great attention recently due to its fundamental physics and potential applications in spintronics and data storage. In this work, we report a temperature-dependent x-ray magnetic circular dichroism (XMCD) study of epitaxial LSMO thin films deposited on orthorhombic NdGaO$_3$ (NGO) substrates grown by the molecular beam epitaxy (MBE) method. Small angle x-ray reflectivity and atomic force microscopy (AFM) results confirmed good epitaxial quality. XMCD measurements were performed at beamline 4-ID-C of the Advanced Photon Source at Argonne National Laboratory. XMCD spectra were taken in a 0.5 tesla field at temperatures ranging from 5 K to 180 K after the 0.5 tesla field cool. The total electron yield absorption spectra showed the oxide state characteristics of Mn, and the shapes of the Mn and O dichroism spectra change with temperature. [Preview Abstract] |
Monday, March 18, 2013 2:42PM - 2:54PM |
C46.00002: Synthesis of Ag$_{2}$O Films using RF Magnetron Sputtering Eric Kaiser, John Bonini, William Fordham, Matthew Long, Joseph Natale, Sean Redmond, Adam Westerland, Michael Yanakas, Xiao Hu, Samuel Lofland, Robert Krchnavek, Jeffrey Hettinger Silver oxide (Ag$_{2}$O) thin films were successfully grown using reactive RF magnetron sputtering onto SiO$_{2}$ and Al$_{2}$O$_{3}$ substrates at room temperature. Synthesis of these films was achieved in a gaseous mixture of oxygen and argon which was 40{\%} oxygen. X-Ray diffraction tests yielded numerous peak intensities at angles correlating directly to Ag$_{2}$O. Deposition rates were shown to be a significantly greater on Al$_{2}$O$_{3}$ in comparison to SiO$_{2}$. Understanding this difference is a point of future investigations. ASTM D3359 adhesion tests as well as four terminal conductivity tests were also performed on the films and will be reported. [Preview Abstract] |
Monday, March 18, 2013 2:54PM - 3:06PM |
C46.00003: Properties of Ti$_{8}$C$_{5}$ thin films created at different temperatures using magnetron sputtering Christopher Rotella, Jeffrey Hettinger, Emma Cortes, Samuel Lofland, Min Heon, Carl Lunk We were able to create thin films of Ti$_{8}$C$_{5}$ on c-axis oriented single crystal Al$_{2}$O$_{3}$ using both co-deposition magnetron sputtering and reactive magnetron sputtering. While TiC is generally used as a precursor film when making ``on-chip'' super capacitors, Ti$_{8}$C$_{5}$ is of similar composition and may have some advantages when making super capacitors. The Ti$_{8}$C$_{5}$ is more porous and demonstrates slightly different properties than TiC. Film deposition was optimized using elemental composition data obtained by WDXRF and characterized using XRD. It was found that composition and phase of Ti$_{8}$C$_{5}$ greatly depended on the temperatures at which the samples were grown. We outline the different parameters at which Ti$_{8}$C$_{5}$ grows best by outlining features of the Ti-C phase diagram. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:18PM |
C46.00004: Field Directed Ordering in Magnetic Nanocrystal Structures Stuart Lawson, Robert Meulenberg Iron oxide nanocrystals (NCs) have been the focus of intense research owing to the observation of tunable magnetic properties which could lead to advances in many fields including magnetic storage devices and medicine. We have been targeting the use of iron oxide NCs as magnetoresistance (MR) based sensors using ordered NC arrays. In this work, we will present our efforts toward using external magnetic fields to induce intraparticle ordering in iron oxide NC drop cast films. We use x-ray diffraction to analyze effects of the external fields on the NC array structure, while using SQUID magnetometry to probe the effects of NC interactions on the magnetic properties of iron oxide NCs ranging from 5 - 20 nm in diameter. MR measurements suggest large changes in the MR ratio can be achieved using the directed ordering approach for NC arrays. Our work could provide new avenues towards the fabrication of new magnetic devices. [Preview Abstract] |
Monday, March 18, 2013 3:18PM - 3:30PM |
C46.00005: Photocatalysis of Thin Films of TiO$_{2}$ on Al$_{2}$O$_{3}$ Substrates David Turbay, Timothy Luttrell, Matthias Batzill Titanium dioxide (TiO$_{2})$ has grown to be one of the most promising photocatalysts in recent years because of extensive applications in renewable and clean energy. The rise in demand for these new energies has driven an increase in research on metal oxides and their properties. Our interest in growing the rutile structure of TiO$_{2}$ stems from its lower excitation energy (3.0 eV) when compared to anatase (3.2 eV), which indicates it has better activity in the visible portion of the spectrum. It has been shown that sapphire (Al$_{2}$O$_{3})$ substrates are conducive to epitaxial rutile growth. In this study, we measured the photocatalytic activity of thin films of TiO$_{2}$ on r-Al$_{2}$O$_{3}$ (1 -1 0 2) substrates. We used PLD and MBE to grow the films, which were characterized using XPS and AFM. Photoactivity was measured via the decomposition of methyl orange on the film's surface using a UV/VIS spectrophotometer. The decomposition of this organic compound is driven by oxidation-reduction reactions on the surface of the TiO$_{2}$ film. From this, we calculated the charge carrier diffusion length and compared it to that of anatase. [Preview Abstract] |
Monday, March 18, 2013 3:30PM - 3:42PM |
C46.00006: Synthesis and Characterization of Ni-NiO Nanocomposites for Optoelectronic Applications G. Beaver, A. Laudari, K. Ghosh LEDs and solar cells are becoming increasingly ubiquitous in modern society as they offer low energy consumption in a world where energy concerns are becoming increasingly prominent. Nonetheless, these devices have to overcome several shortfalls before they will be able to effectively replace traditional devices. In particular, these devices are fabricated using diodes, which depend on p-n junctions. While n-type oxide semiconductors are relatively plentiful, p-types are harder to produce. This research attempts to create a p-type oxide semiconductor with long lifespan and low resistivity. Using pulse laser deposition, NiO thin films with Ni nanoparticles were fabricated on quartz and Al$_{\mathrm{2}}$O$_{\mathrm{3}}$ substrates. Detailed structures of the thin films were studied by X-Ray diffraction, scanning electron microscopy, and Raman spectroscopy techniques. Physical parameters such as magnetic moment of nickel, carrier concentration, and bandgap have been estimated using ultra violet-visible spectroscopy, photoluminescence, Hall effect, and magnetization data. Detailed results will be discussed in the presentation. This work is supported by NSF (Award Number DMR-0907037). [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 3:54PM |
C46.00007: ABSTRACT WITHDRAWN |
Monday, March 18, 2013 3:54PM - 4:06PM |
C46.00008: Experimental and analytical study of ionic self-assembly of silica and titania nanoparticles Brian Simpson, Will Banks, Vincent Kim, Andrew Seredinski, Katy Wilson, Irina Mazilu, Dan Mazilu Using the ionically self-assembled monolayers (ISAM) technique we investigate the time dependence of the surface coverage of thin films that consist of alternating layers of silica or titania nanoparticles deposited on polymer substrates. We conduct experiments in order to investigate the significant observable factors that affected the quality of the coatings including the dipping time, pH, and the molarity of the silica, titania, and PDDA solutions. Using SEM micrographs, we analyzed the surface coverage and compared it to analytical results obtained using a cooperative sequential adsorption model. [Preview Abstract] |
Monday, March 18, 2013 4:06PM - 4:18PM |
C46.00009: Nanoscale Thermal Analysis of Organic Solar Cells Kyle Kelley, Eitan Lees, Cortney Bougher, Tonya Coffey, Brad Conrad, Patrick Heaphy, Chris Collison, Susan Spencer, Jeremy Cody Our research uses atomic force microscopy (AFM) and a Nanoscale Thermal Analysis (NanoTA) system from Anasys Instruments to correlate the morphology of local structures with the thermal material properties of organic solar cells. The NanoTA system uses AFM probes that can be heated up to 350$^{\circ}$C over a 50 nm region to quantify the melting transition temperatures of nanoscale regions. We show results for two materials: D$_{\mathrm{i}}$PSQ[OH]$_{2}$ and PCBM, for both pure and systematically blended thin-films. We have characterized the morphology and melting points of the blend films with increasing anneal time, and differences in melting points of blended as compared to pure samples. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:30PM |
C46.00010: Characterization of organic solar cell morphology Eitan Lees, Kyle Kelly, Cortney Bougher, Susan Spencer, Patrick Heaphy, Jeremy Cody, Christopher Collison, Tonya Coffey, Brad Conrad The morphology of organic solar cell bulk heterojunctions were characterized using atomic force microscopy (AFM). The RMS roughness of solar cells composed of 1,3-bis[4-(N,N-diisopentylamino)-2,6-dihydroxyphenyl]squaraine [DiPSQ(OH)$_2$] and phenyl[C$_{61}$]-butyric acid methyl ester [PCBM] through spin casting were measured. Solar cells of various blend concentrations, anneal times, and cooling methods were characterized. Through RMS roughness analysis we can study the crystallization process in solar cell fabrication. Morphology will be related to device characterization. [Preview Abstract] |
Monday, March 18, 2013 4:30PM - 4:42PM |
C46.00011: Computational study of a class of cooperative sequential adsorption models on Cayley trees and two- dimensional lattices Will Banks, Andrew Seredinski, Brian Simpson, Vincent Kim, Irina Mazilu, Dan Mazilu We present a Monte Carlo simulation study of a class of cooperative sequential adsorption models with constant and variable attachment rates and their possible applications for ionic self-assembly of thin films, drug encapsulation of nanoparticles and susceptible-infected-recovered epidemic models. We do a comparison study of these models on a Cayley tree and a two - dimensional lattice and discuss the cases for which four-branch Cayley trees are good approximations for two -dimensional lattices. [Preview Abstract] |
Monday, March 18, 2013 4:42PM - 4:54PM |
C46.00012: Characterization of Ion Movement in Light-Emitting Electrochemical Cells via ToF-SIMS Tyko Shoji, Zihua Zhu, Anton Ilkevich, Janelle Leger An emerging advantage of organic semiconductors is their ability to conduct ions in applications such as light-emitting electrochemical cells (LECs), photovoltaic devices, and electrochromic devices. This ability of organic materials to conduct both ionic and electronic currents in the solid state sets these materials apart from their inorganic counterparts. However the fundamental electrochemical processes are not well characterized. Evidence suggests that the profiles of ions and electrochemical doping in the polymer film during operation significantly impact the performance and stability of the device. Here, we present our findings from direct profiling of ion distributions in LECs following application of voltage, via time-of-flight secondary ion mass spectrometry. Ion distributions were characterized with regard to film thickness, salt concentration, applied voltage, and relaxation over time. Results provide insight into correlation between ion profiles and device performance and potential approaches to tuning electrochemical doping processes in LECs. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:06PM |
C46.00013: Asymmetric laser sideband generation with a tapered semiconductor amplifier Michael Yanakas, Michael Lim We have constructed a free-space, frequency-shifted feedback amplifier using a tapered semiconductor gain element. The general layout of the system is similar to that described in Littler, et al., \textit{Opt. Comm.} \textbf{88}, 523 (1992). Traveling-wave feedback is demonstrated with the $m=-1$ order of several different acousto-optic modulators driven at variable frequency. Asymmetric sideband production is observed in the rf spectrum of a fast photodiode and in the transmission of a scanning Fabry-Perot interferometer. The number of asymmetric modes is controlled with the AOM rf drive power and the seed laser optical power. [Preview Abstract] |
Monday, March 18, 2013 5:06PM - 5:18PM |
C46.00014: Synchronization in a network of phase-coupled oscillators: the role of learning and time delay Liam Timms, Lars English We investigate numerically the interplay of network ``learning'' and finite signal speed in one and two-dimensional arrays of coupled Kuramoto oscillators. The finite signal speed is introduced into the dynamical system via a time-delay in the coupling. The network structures we examine include various one and two-dimensional arrays with both long and short-range connectivity; the structure of these arrays is imposed via a time delay and a connection matrix. The learning is governed by the Hebbian learning rule which allows the coupling strengths between pairs of oscillators to vary dynamically. It corresponds to a neurological type of learning in which the synapses between neural oscillators increase in strength when they fire action potentials together. We explore the coherent spatio-temporal patterns that can emerge as a function of model parameters such as learning rate and signal speed. [Preview Abstract] |
Session C47: Invited Session: Statistical Physics for Systemic Risk and Infrastructural Interdependencies
Sponsoring Units: GSNPChair: Antonia Scala, CNR-ISC Institute for Complex Systems
Room: Hilton Baltimore Holiday Ballroom 6
Monday, March 18, 2013 2:30PM - 3:06PM |
C47.00001: Self-consistency in Capital Markets Invited Speaker: Hamid Benbrahim Capital Markets are considered, at least in theory, information engines whereby traders contribute to price formation with their diverse perspectives. Regardless whether one believes in efficient market theory on not, actions by individual traders influence prices of securities, which in turn influence actions by other traders. This influence is exerted through a number of mechanisms including portfolio balancing, margin maintenance, trend following, and sentiment. As a result market behaviors emerge from a number of mechanisms ranging from self-consistency due to wisdom of the crowds and self-fulfilling prophecies, to more chaotic behavior resulting from dynamics similar to the three body system, namely the interplay between equities, options, and futures. This talk will address questions and findings regarding the search for self-consistency in capital markets. [Preview Abstract] |
Monday, March 18, 2013 3:06PM - 3:42PM |
C47.00002: DebtRank: Distress Cascades and Financial Immunization Invited Speaker: Stefano Battiston Systemic risk, here meant as the risk of default of a large portion of the financial system, depends on the network of financial exposures among institutions. However, there is no widely accepted methodology to determine the systemically important nodes in a network. To fill this gap, we introduce, DebtRank, a novel measure of systemic impact that overcomes the limitations of the current state of the art. DebtRank is inspired by feedback-centrality in complex networks but delivers an estimation of systemic impact in monetary terms of the impact of distress on individuals and groups of institutions. We illustrate various applications of DebtRank to real world financial networks. [Preview Abstract] |
Monday, March 18, 2013 3:42PM - 4:18PM |
C47.00003: Interdependent complex systems and critical infrastructures Invited Speaker: Raissa D'Souza Collections of networks are at the core of modern society, spanning technological, biological and social systems. Understanding the network structure of individual systems has lead to tremendous advances in the past decade. Yet, in reality, none of these individual networks lives in isolation and the consequences of interdependence can be surprising. Here we present results from random graph models of interacting networks. First, from a structural perspective, we show that interactions between different types of networks can enhance or delay the onset of large scale connectivity. Second, we consider a dynamical process on coupled networks. We use the classic Bak-Tang-Wiesenfeld sandpile model as an abstraction for cascades of load shedding and show that their can exist optimal levels of interconnectivity between networks that provide stabilizing effects with respect to cascades. We will also discuss recent advances in understanding interdependent social and technological networks which rely on coupling game theory to statistical physics and spatial models of random graphs that attempt to capture interdependencies in critical infrastructure systems. [Preview Abstract] |
Monday, March 18, 2013 4:18PM - 4:54PM |
C47.00004: The Fragility of Interdependency: Coupled Networks Switching Phenomena Invited Speaker: H. Eugene Stanley Recent disasters ranging from abrupt financial ``flash crashes'' and large-scale power outages to sudden death among the elderly dramatically exemplify the fact that the most dangerous vulnerability is hiding in the many interdependencies among different networks. In the past year, we have quantified failures in model of interconnected networks, and demonstrated the need to consider mutually dependent network properties in designing resilient systems. Specifically, we have uncovered new laws governing the nature of switching phenomena in coupled networks, and found that phenomena that are continuous ``second order'' phase transitions in isolated networks become discontinuous abrupt ``first order'' transitions in interdependent networks [S. V. Buldyrev, R. Parshani, G. Paul, H. E. Stanley, and S. Havlin, ``Catastrophic Cascade of Failures in Interdependent Networks,'' Nature 464, 1025 (2010); J. Gao, S. V. Buldyrev, H. E. Stanley, and S. Havlin, ``Novel Behavior of Networks Formed from Interdependent Networks,'' Nature Physics 8, 40 (2012). We conclude by discussing the network basis for understanding sudden death in the elderly, and the possibility that financial ``flash crashes'' are not unlike the catastrophic first-order failure incidents occurring in coupled networks. Specifically, we study the coupled networks that are responsible for financial fluctuations. It appears that ``trend switching phenomena'' that we uncover are remarkably independent of the scale over which they are analyzed. For example, we find that the same laws governing the formation and bursting of the largest financial bubbles also govern the tiniest finance bubbles, over a factor of 1,000,000,000 in time scale [T. Preis, J. Schneider, and H. E. Stanley, ``Switching Processes in Financial Markets,'' Proc. Natl. Acad. Sci. USA 108, 7674 (2011); T. Preis and H. E. Stanley, ``Bubble Trouble: Can a Law Describe Bubbles and Crashes in Financial Markets?'' Physics World 24, No. 5, 29 (May 2011)]. [Preview Abstract] |
Monday, March 18, 2013 4:54PM - 5:30PM |
C47.00005: Information and disease diffusion in dynamic social environments Invited Speaker: Alessandro Vespignani In recent years the increasing availability of computer power and informatics tools has enabled the gathering of reliable data quantifying the complexity of socio-technical systems. Data-driven computational models have emerged as appropriate tools to tackle the study of contagion and diffusion processes as diverse as epidemic outbreaks, information spreading and Internet packet routing. These models aim at providing a rationale for understanding the emerging tipping points and nonlinear properties that often underpin the most interesting characteristics of socio-technical systems. ~Here I review some of the recent progress in modeling contagion and epidemic processes that integrates the complex features and heterogeneities of real-world systems. [Preview Abstract] |
Follow Us |
Engage
Become an APS Member |
My APS
Renew Membership |
Information for |
About APSThe American Physical Society (APS) is a non-profit membership organization working to advance the knowledge of physics. |
© 2024 American Physical Society
| All rights reserved | Terms of Use
| Contact Us
Headquarters
1 Physics Ellipse, College Park, MD 20740-3844
(301) 209-3200
Editorial Office
100 Motor Pkwy, Suite 110, Hauppauge, NY 11788
(631) 591-4000
Office of Public Affairs
529 14th St NW, Suite 1050, Washington, D.C. 20045-2001
(202) 662-8700