Bulletin of the American Physical Society
2007 APS March Meeting
Volume 52, Number 1
Monday–Friday, March 5–9, 2007; Denver, Colorado
Session S1: Magnetic Excitations in High Tc Superconductors
Sponsoring Units: DCMPChair: Michael Norman, Argonne National Laboratory
Room: Colorado Convention Center Four Seasons 2-3
Wednesday, March 7, 2007 2:30PM - 3:06PM |
S1.00001: Spin Dynamics in the electron-doped high-$T_c$ superconductors Pr$_{0.88}$LaCe$_{0.12}$CuO$_{4-\delta}$ Invited Speaker: We briefly review results of recent neutron scattering experiments designed to probe the evolution of antiferromagnetic (AF) order and spin dynamics in the electron- doped Pr$_{0.88}$LaCe$_{0.12}$CuO$_{4-\delta}$ (PLCCO) as the system is tuned from its as-grown non-superconducting AF state into an optimally doped superconductor ($T_c$ = 27.5 K) without static AF order [1-3]. For under doped materials, a quasi-two- dimensional spin-density wave was found to coexist with three- dimensional AF order and superconductivity. In addition, the low-energy spin excitations follow Bose statistics. In the case of optimally doped material, we have discovered a magnetic resonance intimately related to superconductivity analogous to the resonance in hole-doped materials. On the other hand, the low energy spin excitations have very weak temperature dependence and do not follow Bose statistics, in sharp contrast to the as-grown nonsuperconducting materials. 1 Stephen D. Wilson, Pengcheng Dai, Shiliang Li, Songxue Chi, H. J. Kang, and J. W. Lynn, Nature (London) {\bf 442}, 59 (2006). 2 Stephen D. Wilson, Shiliang Li, Hyungje Woo, Pengcheng Dai, H. A. Mook, C. D. Frost, S. Komiya, and Y. Ando, Phys. Rev. Lett. {\bf 96}, 157001 (2006). 3. Stephen D. Wilson, Shiliang Li, Pengcheng Dai, Wei Bao, J. H. Chung, H. J. Kang, S.-H. Lee, S. Komiya, and Y. Ando, Phys. Rev. B {\bf 74}, 144514 (2006). [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:42PM |
S1.00002: Spin dynamics of YBa$_{2}$Cu$_{3}$O$_{6+x}$ Invited Speaker: We have used inelastic neutron scattering to determine the spin dynamics in untwinned single crystals of YBa$_{2}$Cu$_{3}$O$_{6+x}$ over a wide range of doping levels [1], with particular attention on its in-plane anisotropy [2]. Among other observations, we have found that the spin dynamics in the superconducting and pseudogap states are qualitatively different. The results allow incisive tests of current theories; including in particular theories based on static and fluctuating spin-charge stripes. We will also present initial results of an effort to provide a quantitative description of both the spin dynamics and the charge dynamics (determined by infrared and angle-resolved photoemission spectroscopies [3]) in the same YBa$_{2}$Cu$_{3}$O$_{6+x}$ single crystals. [1] S. Pailhes et al., Phys. Rev. Lett. 93, 167001 (2004)~; Phys. Rev. Lett. 96, 257001 (2006). \newline [2] V. Hinkov et al., Nature 430, 650 (2004); cond-mat/0601048. \newline [3] S.V. Borisenko et al., Phys. Rev. Lett. 96, 117004 (2006); V.B. Zabolotnyy et al., cond-mat/0608295. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 4:18PM |
S1.00003: New Insight into an Under-doped Regime of High Tc Superconductivity - NMR Studies of Multi-layered Cuprates Invited Speaker: High-temperature superconductivity (HTSC) has not been fully understood yet despite 20 year's intensive research. In particular, a possible interplay between antiferromagnetism (AFM) and HTSC remains as a most interesting problem. It is believed that they all fit into a universal phase diagram which suggests a competition between AFM and HTSC. Recently, however, through the systematic Cu-NMR studies on the Hg-, Tl- and Cu-based five-layered HTSC, we propose a novel phase diagram [1-3], which differs from the generic phase diagram of the HTSC reported so far, for instance, such as LSCO. The multi-layered HTSC compounds include two types of CuO$_{2}$ planes, an outer CuO$_{2}$ plane (OP) in a pyramidal coordination and an inner CuO$_{2}$ plane (IP) in a square one with no apical oxygen. Remarkable feature of the multi-layered HTSC is the presence of ideally flat CuO$_{2}$ planes that are homogeneously doped, which is ensured by the narrowest NMR spectral width among the various HTSC compounds with very high quality to date. It should be noted that the nearly non-doped AFM in the IP and the IP* takes place, whereas inhomogeneous magnetic phases such as spin-glass phase or stripe phase are not observed at both the IP's and the OP's. Instead, the existence of the doped AFM metallic (AFMM) phase at the IP and the IP* is remarkable at the boundary between AFM insulating (AFMI) phase and SC. This differs from the case of LSCO where the disorder-driven magnetic phases exist between the AFMI phase in N$_{h}<$ 0.02 and the SC phase in N$_{h}>$ 0.05. In an underlying phase diagram, the AFMM is extended to a higher hole density due to the flatness of CuO$_{2}$ plane with no apical oxygen and the homogeneous distribution of carrier density. By contrast, the prototype phase diagrams reported thus far are under the inevitable disorder effect associated with the chemical substitution introduced into the CuO$_{2}$ out-of-planes as corroborated by the observation of a disorder-driven transition from AFMM phase to AFMI phase found in theCu-based multi-layered system [3]. Through the discovery of the uniform mixing of AFM and HTSC in a single CuO$_{2 }$layer (OP) at Hg-1245(UD) with M$_{AFM}$=0.1$\mu _{B}$ and T$_{c}$=72 K., we will shed new light on the generic phase diagram of HTSC in the under-doped regimes. Namely, both phases may be mediated by the same magnetic interaction. It is this global phase diagram presented here to make one convince the presence of \textit{the AFM+SC uniformly coexisting phase}. From the results presented in this talk, we may raise a question; \textit{Do we need a bosonic glue to pair electrons in the uniformly coexisting state of AFM and SC ?} References: [1]. H. Mukuda et al.Phys. Rev. Lett. \textbf{96}, 087001 (2006); [2] N. Shimizu et al., submitted to PRL (2006). [3] H. Mukuda et al.,J. Phys. Soc. Jpn. \textbf{75}, No.12 (2006). [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:54PM |
S1.00004: Two Energy Scales in the Spin Excitations of La$_{2-x}$Sr$_{x}$Cu0$_{4}$ Invited Speaker: There has recently been considerable progress in electronic quasiparticle spectroscopy of high-\textit{Tc} superconductors. Angle resolved photoemission and tunnelling indicate that the quasiparticles are strongly coupled to excitations with energies in the range 40-70 meV. The recent debate has focused around phonons being the coupled excitations. The focus on phonons is largely because high-resolution phonon spectra are available and they contain considerable structure. Collective spin excitations are promising candidates for the strongly coupled excitations. However high resolution neutron data in the relevant 40-70 meV energy range have not been available for compounds where the quasiparticle anomalies are observed. In order to fill this gap in our knowledge, we have prepared 50g of single crystals of La$_{1.84}$Sr$_{0.16}$CuO$_{4}$ and carried out a new study of the magnetic excitations over a wide energy range, with considerably better energy resolution than our previous studies, and with good momentum resolution. Experiments were carried out using the MAPS spectrometer at the ISIS spallation neutron source. Our results demonstrate that the magnetic excitations have a two component structure with a low-frequency component strongest around 18 meV and a broader component strongest near 40-70 meV. The second component carries most of the spectral weight and its energy matches structure seen in photoemission and tunnelling spectra in the range 50-90 meV. Thus collective spin excitations may explain features of quasiparticle spectroscopies and are therefore likely to be strongly coupled excitations. The high-frequency excitations are most naturally interpreted as being due to residual antiferromagnetic interactions. \newline \newline [1] e.g. A. Lanzara, Nature 412, p510 (2001) \newline [2] e.g. J Lee et al., Nature 442, p546 (2006) [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:30PM |
S1.00005: Magnetic Excitations and the Exchange Energy Available for Superconductivity Invited Speaker: We have made detailed comparisons of theoretical calculations and experimental neutron scattering results in absolute units in order to determine the temperature change of the nearest neighbor spin correlations in optimally doped YBCO as one goes from the normal to the superconducting state [1]. This allows us to estimate the magnetic exchange energy change that becomes available for superconducting condensation. Our results show that the available magnetic energy change is about 10-15 times larger than the energy necessary for superconducting condensation [1]. We discuss the issue of the spin sum rule and implications for a spin fluctuation driven pairing interaction as well as implications for low energy excitations in angular photoemission spectroscopy [2]. \newline \newline [1] H. Woo et al, Nature Physics 2, 600 (2006). \newline [2] T. Dahm et al, Phys. Rev. B 72, 214512 (2005). [Preview Abstract] |
Session S2: Symposium on Exchange Bias
Sponsoring Units: GMAGChair: Dan Dahlberg, University of Minnesota
Room: Colorado Convention Center Four Seasons 4
Wednesday, March 7, 2007 2:30PM - 3:06PM |
S2.00001: Energy and Length Scales in the Exchange Bias Problem Invited Speaker: Exchange bias phenomenon in antiferromagnet/ferromagnet (AF/F) systems has been studied for over fifty years, however a quantitative theory of exchange bias is still lacking. Although main theoretical ideas necessary for a comprehensive exchange bias theory appear to be in place, reliable quantitative predictions of exchange anisotropy based on the properties of the ferromagnet and the antiferromagnet cannot be made at present. One reason for the difficulty of understanding of exchange bias is the wide range of qualitatively different magnetic behaviors exhibited by different exchange bias systems. In this talk I will argue that the wide range of magnetic behaviors exhibited by exchange bias systems results from the large number of energy and length scales in the exchange bias problem. Different hierarchies of energy and length scales can give rise to qualitatively different magnetic properties of exchange bias systems. Therefore, a classification scheme of exchange bias systems based on the hierarchy of the relevant energy and length scales would greatly facilitate the progress towards the comprehensive understanding of the exchange bias effect. In this talk I will discuss existing theoretical predictions for the magnitude and symmetry of exchange anisotropy in AF/F systems belonging to different energy and length scale hierarchy classes. These predictions will be compared to our experimental data on exchange anisotropy in Fe/MnF$_{2}$, Fe/FeF$_{2}$ and Co/CoO systems belonging to three different classes. I will also analyze exchange anisotropy data for other exchange bias systems reported in the exchange bias literature in the context of the energy and length scale hierarchy classification. The degree of success of the proposed classification scheme of exchange bias systems for analysis of the experimental data will be discussed. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:42PM |
S2.00002: Using Exchange Bias to Control Magnetic Vortices Invited Speaker: Spintronics has spurred the interest in patterned magnetic nanostructures both for fundamental reasons and due to their applications. Moreover, exchange bias (i.e., the exchange coupling between ferromagnetic, FM, and antiferromagnetic, AFM, materials) constitutes an essential part of many spintronics devices (e.g., read heads or MRAM). However, exchange bias in nanostructures has not been extensively studied [1]. We have investgated the magnetic behavior of exchange coupled ferromagnetic (Permalloy) -- antiferromagnetic (IrMn) lithographed dots by magneto optic Kerr effect, magnetic force microscopy and micromagnetic simulations. We have recently demonstrated that vortex formation remains the reversal mode in these FM-AFM dots although the loops are shifted along the field axis [2]. In fact, the actual magnetization reversal mechanism (coherent rotation vs. vortex formation) is angle dependent [2] and can be controlled by varying the strength of the exchange bias or nucleation field [3]. Moreover, if the system is field cooled in an unsaturated state (i.e., using small fields) a new type of asymmetric hysteresis loop is found. This asymmetry is characterized by the appearance of curved, reversible, central sections in the hysteresis loops, with non-zero remanent magnetization [4]. The origin of the asymmetric loop shape is ascribed to the imprint [5] of displaced magnetic vortices in the AFM during the cooling process, which pin the vortex core away from the dot center. \\ {[}1] J. Nogu\'{e}s et al., Phys. Rep. \textbf{422, }65 (2005). \\ {[}2] J. Sort et al., Phys. Rev. Lett. \textbf{95}, 067201 (2005). \\ {[}3] J. Sort et al., Appl. Phys. Lett. \textbf{88}, 042502 (2006). \\ {[}4] J. Sort et al., Phys. Rev. Lett. \textbf{97, }067201 (2006). \\ {[}5] S. Br\"{u}ck et al. Adv. Mater. \textbf{17}, 2978 (2005). [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 4:18PM |
S2.00003: Induced magnetic structure in exchange-coupled ferro-/antiferromagnet thin films Invited Speaker: The most prominent feature observed in exchange-coupled ferromagnetic/ antiferromagnetic (FM/AF) bilayers is the so-called exchange bias field ($H_{EB})$, i.e. the shift of the hysteresis loop along the magnetic field axis. However the exchange bias phenomenon can induce other interesting effects on the FM. In this talk we show two methods to establish a bi-domain state in the FM, due to the coexistence of domains with opposite sign of $H_{EB}$ [1-3]. Magneto-optical, polarized neutron and soft X-ray measurements show that this lateral structure becomes more complex for low magnetocrystalline anisotropy materials where a spin depth profile is created in the FM due to the exchange coupling with the AF [4-6]. The internal magnetic structure in the AF and its role on exchange bias has also been investigated using FM/AF/FM trilayers. These studies demonstrate that the bulk spin configuration in the AF plays a crucial role in the pinning of uncompensated spins at the interface thus determining the $H_{EB}$ . Supported by the US-DOE, European Marie-Curie-OIF and the Alfred P. Sloan Foundation. [1] O. Petracic et al. Appl. Phys. Lett. 87, 222509 (2005) [2] I. V. Roshchin et al. Europhys. Lett. 71, 297 (2005) [3] J. Olamit et al. Phys. Rev. B 72, 012408 (2005) [4] R. Morales et al. Appl. Phys. Lett. 89, 072504 (2006) [5] S. Roy et al. Phys. Rev. Lett. 95, 047201 (2005) [6] Z-P. Li et al. Phys. Rev. Lett. 96, 217205 (2006) [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:54PM |
S2.00004: Understanding Thermal Activation Processes in Exchange Bias Systems Invited Speaker: The phenomenon of exchange bias has been of major scientific interest and technological importance since the 1980s following its discovery by Meiklejohn and Bean in 1956 [1,2]. Following initial seminal work by Fulcomer and Charap [3] it has recently become clear that a major contribution to the phenomena of exchange bias derives from the fact that the grains in the antiferromagnetic (AF) layer are capable of thermally activated reorientation due to the exchange field from the ferromagnetic (F) layer. In this work careful measurement protocols will be presented that enable the thermal activation process to be analysed in considerable detail. More recently Hoffman [4] has described a spin reorientation process that occurs after the AF layer is set which leads to a large shift in the forward going hysteresis loop on the first reversal of the F layer. This effect, coupled to the thermal activation process, gives rise to the phenomenon of training whereby the loop progressively shifts from its original set direction towards the origin. Lastly we have observed a spin freezing phenomena at the interface that can be induced by either temperature or applied field which results in a systematic variation of the exchange bias. We interpret this effect as being due to paramagnetic like spins at the interface whose ordering leads to a significant increase in the overall value of the exchange bias. Thus we show that exchange bias is a complex convolution of at least three distinct effects, all of which will be described in detail. This explains why single theories of how this effect arises have been so unsuccessful during the last 50 years. \newline \newline [1] Meiklejohn and Bean: Physical Review vol.102 p.1413 (1956) \newline [2] Nogues and Schuller: Journal of Magnetism and Magnetic Materials vol.192 p.203 (1999) \newline [3] Fulcomer and Charap: Journal of Applied Physics vol.43 p.4190 (1972) \newline [4] Hoffmann: Physical Review Letters vol.93 p.097203 (2004) [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:30PM |
S2.00005: Electric and Magnetic Field control of Exchange Bias Invited Speaker: Exchange bias (EB) and its accompanying training effect are fundamental interface phenomena in coupled magnetic thin films with significant impact in spintronic applications. Here we report on the electric field control of the EB in innovative antiferromagnetic (AF)/ferromagnetic (FM) heterostructures and the magnetic field control of the EB training effect in exchange coupled all FM bilayer systems. Electric control of the EB is realized in Cr$_{2}$O$_{3}$ (111)/(Co/Pt)$_{3}$ heterostructures by taking advantage of the magnetoelectric (ME) properties of the AF pinning layer [1]. An electric field induces excess magnetization in the ME Cr$_{2}$O$_{3}$ film. Exchange coupling between the induced magnetization and the CoPt thin film gives rise to electrically controlled perpendicular EB. Bias fields are measured by means of AGFM, SQUID-magnetometry and polar Kerr-rotation. Electrically controlled EB is proposed for novel spintronic applications such as pure voltage control of magnetic configurations in spin valve-type architectures. The latter provide an attractive alternative to current-induced switching of the magnetization [2]. In addition, training of the EB effect is studied in novel all FM heterostructures of exchange coupled soft and hard FM thin films [3]. FM bilayers show remarkable analogies to the conventional AF/FM EB systems. Not only do they exhibit a tunable EB effect, they also show a distinct training behavior upon cycling the soft layer through consecutive hysteresis loops. In contrast to conventional EB systems, all FM bilayers allow the observation of training induced changes in the bias-setting hard layer by means of simple magnetometry. Initialization of the EB is achieved at constant temperature exclusively by means of magnetic fields. Our experiments show unambiguously that EB training is driven by deviations from the equilibrium spin configuration of the pinning layer. The experimental data show excellent agreement with our theoretical predictions including the subtle dynamic enhancement of the EB training which evolves with increasing field sweep rates. \newline \newline [1] P. Borisov, A. Hochstrat, X. Chen, W. Kleemann, and Ch. Binek, Phys. Rev. Lett. 94, 117203 (2005). \newline [2] Ch. Binek, B.Doudin, J. Phys. Condens. Matter 17, L39 (2005). \newline [3] Ch. Binek, S. Polisetty, Xi He and A. Berger, Phys. Rev. Lett. 96, 067201 (2006). [Preview Abstract] |
Session S3: 1D Electrons Outside the Luttinger Liquid Paradigm
Sponsoring Units: DCMPChair: Gregory Fiete, Kavli Institute for Theoretical Physics, University of California, Santa Barbara
Room: Colorado Convention Center Korbel 2A-3A
Wednesday, March 7, 2007 2:30PM - 3:06PM |
S3.00001: Dynamic Response of One-Dimensional Interacting Fermions Invited Speaker: Evaluation of the dynamic structure factor $S(q,\omega)$ of interacting one-dimensional fermions with a nonlinear dispersion relation was posing an interesting problem, which could not be addressed within the Luttinger liquid theory. The recent solution~[1] of the problem for spinless fermions uncovered new universal features of the structure factor, originating from the combined effects of the nonlinear dispersion and interactions. The sharp peak, characteristic for the Tomonaga-Luttinger model, broadens up; for a fixed wave vector $q$, the structure factor becomes finite at arbitrarily large frequency. The main spectral weight, however, is confined to a narrow frequency interval with the width of order $q^2/2m$; here mass $m$ is determined by the curvature of the dispersion relation. At the lower boundary of this interval the structure factor exhibits power-law singularity with exponent depending on the interaction strength and on the wave vector. The origin of the newly found non-analytical behavior of the structure factor is related to the physics of the Fermi-edge singularity. The constructed theory provides a link between this phenomenon, well-known in the context of electron systems, and the anomalies in the response functions of other one-dimensional systems, as there are close similarities between the dynamic responses of fermions, quantum magnets, and interacting bosons. \newline [1] M. Pustilnik, M. Khodas, A. Kamenev, and L.I. Glazman, Phys. Rev. Lett. {\bf 96}, 196405 (2006) [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:42PM |
S3.00002: Direction Controlled Coulomb Drag in Coupled One-Dimensional Quantum Wires Invited Speaker: In a one-dimensional electron gas (1DEG) with sufficiently low density at low temperature, Coulomb interaction becomes so dominant that Wigner crystallization can occur. Wigner crystal (WC) is generally characterized by collective motion of electrons and strong incompressibility. Therefore, in the presence of an external electrostatic potential, electrons forming a WC do not contribute to microscopic screening and only respond rigidly, whereas those of a Fermi liquid (FL) freely move to screen the external potential and produce a correlation hole. In this work we show that the difference between WC and FL allows us to control the direction of Coulomb drag in coupled pairs of 1DEG wires, each having two 2DEG leads. We prepare parallel coupled pairs of quantum wires in a 2DEG defined by Schottky gates to study the current drag between the two wires. The distance between the two wires and the electron density in each wire are all tunable with gate voltages. We inject a constant current into one of the wires (drive wire) and measure the induced drag current (or voltage drop for $I_{drag}$ = 0) in the other wire (drag wire). Electrons in the drive wire usually drag electrons in the drag wire in the same direction because momentum is conserved in Coulombic scattering between the wires. However, when the electron density in the drive wire is sufficiently low that the drive wire has charge inhomogeneity and the electrons in the drag wire are strongly correlated, i.e. at low density, high perpendicular magnetic field and low temperature, the direction of the drag current can be reversed. The sign reversal occurs only when the drive wire is adjacent to the boundary between the drag wire and its lead, and can be controlled by changing the geometry of the coupled wires. These behaviors can be modeled by electron pump from WC in the drag wire to its 2DEG lead, driven by particle-like electrons in the drive wire. The drive wire electrons induce a positive screening charge only in the FL lead, which attracts WC in the drag wire. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 4:18PM |
S3.00003: Power-laws in one-dimensional transport: Luttinger liquid or disorder? Invited Speaker: |
Wednesday, March 7, 2007 4:18PM - 4:54PM |
S3.00004: Aharonov-Bohm effect in the spin-incoherent regime of strongly correlated 1D electrons Invited Speaker: Recently the spin-incoherent regime of the interacting one-dimensional electron gas has received much attention. In this regime the exchange coupling of nearest neighbor spins is so small that it is completely disrupted by the thermal motion. This regime is generic to low density 1D systems. It is not captured by the standard Luttinger liquid theory and it is expected to exhibit a number of anomalous properties. One of its unusual features is an anomalous conductance suppression reminiscent of conductance reductions observed in quantum wires and point contacts. Despite its great theoretical interest spin incoherence has not yet been demonstrated conclusively in experiments and specific probes of the regime are needed. In this talk I will discuss various tunneling and Aharonov-Bohm interference geometries [1] that can serve this purpose. Spin incoherence will be shown to have a number of distinctive signatures in such experiments such as magnetic field dependent tunneling exponents [2], a strong magnetic field dependence of the interference contrast, and an anomalous scaling of this contrast with the applied voltage [1]. In collaboration with P.W. Brouwer and A.J. Millis. [1] M. Kindermann, P. W. Brouwer, and A. J. Millis, Phys. Rev. Lett. \textbf{97}, 036809 (2006). [2] M. Kindermann and P. W. Brouwer, Phys. Rev. B \textbf{74}, 115121 (2006). [Preview Abstract] |
Session S4: Disordered Quantum Gases
Sponsoring Units: DAMOPChair: Yong Chen, Rice University
Room: Colorado Convention Center Korbel 2B-3B
Wednesday, March 7, 2007 2:30PM - 3:06PM |
S4.00001: Ultracold Atoms in Optical Potentials and Novel Quantum Phases Invited Speaker: The experimental study of ultracold atoms in optical lattices has thrown a bridge between the realms of atomic physics and solid state physics. Laser beams in standing wave configuration provide ideal periodic potentials for the atoms, thus constituting a test ground for the quantum theory of transport in periodic structures. On the other hand, laser light can also be used to engineer controlled disorder in the form of speckle fields or multi-chromatic lattices with incommensurate wavelengths. These aperiodic potentials can be used to study the physics of disordered systems and the emergence of quantum localization phases, such as Anderson insulators or strongly interacting Bose Glass phases. I will review some of the latest advances in this exciting field, discussing experiments with quantum degenerate gases in disordered optical potentials. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:42PM |
S4.00002: Localisation of interacting Bose-Einstein Condensates expanding in a 1D random potential created by laser speckle Invited Speaker: We have studied the 1D expansion of a coherent interacting matter wave (a Bose-Einstein condensate) in the presence of disorder. Well controlled 1D random potentials are produced with laser speckle patterns. We observe the suppression of the transport of the BEC in the random potential, and we study this localisation phenomenon as a function of the parameters of the random potential. A theoretical analysis and numerical simulations allow us to interpret the observed behaviours. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 4:18PM |
S4.00003: Mott-insulator phases of coupled two-component Bose gases Invited Speaker: In recent years, strongly-correlated atomic gases have attracted a rapidly-growing attention, mostly motivated by the impressive developments in the manipulation of atoms in optical lattices. In particular, if cold bosons in lattices occupy just the lowest band of the corresponding band structure, the physics is then described by the Bose-Hubbard model, which presents two different types of ground states, namely a superfluid phase and a gaped incompressible insulator phase known as Mott-insulator, characterized by a commensurate occupation per lattice site. For the case of Bose-Bose mixtures, an even richer physics occurs, and in particular a pair superfluid phase, i.e. a superfluid of boson-boson (or hole-hole) composites [1], can occur. In this work we analyze how the formation of a pair-superfluid may significantly influence the qualitative shape of the boundaries of the Mott-insulator regions. We discuss first that our results are relevant for both binary Boson-Boson mixtures, as well as for the case of dipolar gases placed in two unconnected neighboring one-dimensional wires. By combining strong-coupling-expansion calculations, and one-dimensional numerical results based on Matrix-Product-state techniques, we show that the Mott-boundaries strongly modify their shape, acquiring a marked re-entrant character even for low tunneling, which persists even for two-dimensional systems. Finally, we comment on the consequences that this effect may have in the spatial extension of the Mott-insulator plateaux in experiments with an inhomogeneous harmonic trapping in addition to the lattice potential. [1] A. Kuklov, N. Prokof'ev, and B. Svistunov, Phys. Rev. Lett. 92, 050402 (2004). [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:54PM |
S4.00004: Prospects for strong localization of matter waves by scattering from atoms in a lattice Invited Speaker: Non-interacting matter waves in a disordered potential may exhibit localized states, that is eigenstates with an energy above the potential and with a square integrable wave-function. This intriguing quantum property, related to the concept of Anderson or strong localization, is not straightforward to observe experimentally as in many systems the situation is made complex by interaction and decoherence effects. Ultracold atoms are very flexible systems, where the parasitic effects may be reduced; they are good candidates to observe strong localization if one is able to produce a strong enough disorder. It has been proposed to realize a controllable disorder for matter waves by randomly trapping atoms of another species at the nodes of an optical lattice, with a filling factor less than unity. For the matter wave the optical lattice is far detuned and is assumed to have a negligible mechanical effect. The matter wave then only sees the trapped species, which, in a regime of negligible tunneling, constitutes a static disordered potential of point-like scatterers [1]. We analyze the possibility to observe three-dimensional strong localization of matter waves with this realization of disorder [2]. We show that, provided one is able to adjust the effective scattering length of a trapped scatterer to a value close to the mean inter-scatterer separation d, one can produce localized states with a localization length as short as d, in practice in the micrometer range. We have obtained the value of the effective scattering length by solving the two-body problem of scattering of a free matter wave on a harmonically trapped atom. We predict confinement induced resonances, with an identified physical origin, that may be used to tune the effective scattering length to the desired value, in combination with an interspecies Feshbach resonance. \newline \newline [1] U. Gavish, Y. Castin, Phys. Rev. Lett. 95, 020401 (2005). \newline [2] P. Massignan, Y. Castin, Phys. Rev. A 74, 013616 (2006). [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:30PM |
S4.00005: Weak and strong localization of cold bosons in optical speckle potentials Invited Speaker: Cold bosons in optical speckle potentials allow to study quantum transport in various geometries under the influence of disorder and dephasing. We use a diagrammatic Green's function approach to calculate the quantum diffusion constant for cold bosonic matter waves in the single-particle regime in optical speckle potentials. These random potentials display strong correlations that were suspected to reduce quantum coherent effects. Our analytical linear-response theory shows that current experiments should be able to measure weak localization corrections to the classical Boltzmann diffusion constant, even in 2 or 3 dimensions. Moreover, the threshold to the strongly (or Anderson) localized regime is accessible if atoms are cold enough and prepared with a sufficiently small momentum dispersion [R. Kuhn et al., Phys. Rev. Lett. 95, 250403 (2005)]. [Preview Abstract] |
Session S5: Quantum Mechanics/Molecular Mechanics: Developments and Applications
Sponsoring Units: DBPChair: Victor Batista, Yale University
Room: Colorado Convention Center Korbel 1A-1B
Wednesday, March 7, 2007 2:30PM - 3:06PM |
S5.00001: Modeling Enzymatic Reactions in Proteins. Invited Speaker: We will discuss application of our density functional (DFT)-based QM/MM methodology to modeling a variety of protein active sites, including methane monooxygenase, myoglobin, and cytochrome P450.~ In addition to the calculation of intermediates, transition states, and rate constants, we will discuss modeling of reactions requiring protein conformational changes. Our methodology reliably achieves small errors as a result of imposition of the QM/MM boundary.~ However, the accuracy of DFT methods can vary significantly with the type of system under study. We will discuss a novel approach to the reduction of errors in gradient corrected and hybrid DFT functionals, using empirical localized orbital corrections (DFT-LOC), which addresses this problem effectively.~ For example, the mean unsigned error in atomization energies for the G3 data set using the B3LYP-LOC model is 0.8 kcal/mole, as compared with 4.8 kcal/mole for B3LYP and 1.0 kcal/mole for G3 theory. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:42PM |
S5.00002: Challenges and advances in QM/MM methods for studies of energetics and dynamics of biological systems Invited Speaker: QM/MM approaches have become a popular tool in studies of large systems, yet the use of such approaches in accurate evaluations of reaction rates in proteins and solutions is very challenging. Unfortunately, quantitative studies require a combination of accurate (ab intitio based) potential surfaces and the ability of extensive sampling for proper evaluation of activation free energies and transmission factors. Our strategies for overcoming these problems are based on the use of an EVB potential surface as reference potential for ab initio sampling. The use of this powerful approach for studies of the redox potential of blue copper proteins and related problems, the autoionization of water in water and some enzymatic reactions will be described, emphasizing the requirements of stable and reliable results for biological processes. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 4:18PM |
S5.00003: QM/MM in complex systems using SCC-DFTB and its implementation in Amber. Invited Speaker: We will present our current implementation of SCC-DFTB into the molecular dynamics program Amber. Details of the efficiency and accuracy of the method will be presented. We will also shows some case studies involving conformational searches in peptides, replica exchange simulations in solution, and an application to an enzyme mechanism. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:54PM |
S5.00004: Improved QM Methods and Their Application in QM/MM Studies of Enzymatic Reactions Invited Speaker: Quantum mechanics (QM) and Monte Carlo statistical mechanics (MC) simulations have been used by us since the early 1980s to study reaction mechanisms and the origin of solvent effects on reaction rates. A goal was always to perform the QM and MC/MM calculations simultaneously in order to obtain free-energy surfaces in solution with no geometrical restrictions. This was achieved by 2002 and complete free-energy profiles and surfaces with full sampling of solute and solvent coordinates can now be obtained through one job submission using BOSS [JCC 2005, 26, 1689]. Speed and accuracy demands also led to development of the improved semiempirical QM method, PDDG-PM3 [JCC 1601 (2002); JCTC 817 (2005)]. The combined PDDG-PM3/MC/FEP methodology has provided excellent results for free energies of activation for many reactions in numerous solvents. Recent examples include Cope, Kemp and E1cb eliminations [JACS 8829 (2005), 6141 (2006); JOC 4896 (2006)], as well as enzymatic reactions catalyzed by the putative Diels-Alderase, macrophomate synthase, and fatty-acid amide hydrolase [JACS 3577 (2005); JACS (2006)]. The presentation will focus on the accuracy that is currently achievable in such QM/MM studies and the accuracy of the underlying QM methodology including extensive comparisons of results from PDDG-PM3 and ab initio DFT methods. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:30PM |
S5.00005: Local and global refinement of electronic and structural properties of proteins via QM/MM Invited Speaker: This talk presents a new method to incorporate polarization effects in the electrostatic potential of proteins and enzymes, with potential application to even larger biological systems such as ribosomes. Polarization effects are incorporated via an iterative self-consistent point-charge model of the protein electrostatic potential. The method, which scales linearly with the size of the protein, achieves quantitative agreement with full QM calculations in the description of electrostatic potentials of small polypeptides where polarization effects are significant, showing a remarkable improvement relative to the corresponding electrostatic potentials obtained with popular MM force fields. The capabilities of the method will be demonstrated in several applications, including calculations of the electrostatic potential in the potassium channel protein and the description of protein-protein association. [Preview Abstract] |
Session S6: X-ray Synchrotron Instrumentation
Sponsoring Units: GIMSChair: Tim Graber, University of Chicago
Room: Colorado Convention Center 207
Wednesday, March 7, 2007 2:30PM - 3:06PM |
S6.00001: Multilayer Laue Lenses -- A Path Towards Nanofocusing of X-rays. Invited Speaker: The possibility of imaging at near-atomic resolution using short-wavelength x-rays has been a dream ever since the nature of x-rays was first understood nearly 100 years ago. Although hard x-rays can in principle be focused to spot sizes on the order of their wavelength (0.1 nm), this limit has never been approached because of the difficulty in fabricating the optics -- indeed, it has not even been clear what type of optics will work. We have developed a new approach towards manufacture of hard x-ray optics, the ``Multilayer Laue Lens'' (MLL) [1]. MLL's are fabricated by coating a flat substrate with alternating layers of nanometer thickness, with d-spacing varying to form the zones of a linear zone plate. Thin cross sections of the multilayer are then made. These allow focusing of x-rays when illuminated in transmission (Laue) diffraction geometry. Crossing two such linear zone plate sections will allow 2-dimensional focusing. We have shown that a resolution of 5 nm should be achievable using the non-optimized geometry we are currently fabricating, and that a resolution of 1 nm is feasible using an optimized geometry. We have experimentally demonstrated a line focus with a width of below 20 nm at photon energies of 20 keV and 30 keV, with diffraction efficiencies of 30{\%} and above 15{\%}, respectively. \newline \newline [1] H.C. Kang, J. Maser, G.B. Stephenson, C. Liu, R. Conley, A.T. Macrander, S. Vogt, Phys. Rev. Lett. \textbf{96}, March, 127401-1-127401-4 (2006). [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:42PM |
S6.00002: Bright Field X-ray Topography with Sub-100-nm Spatial Resolution Invited Speaker: X-ray topography is an extreme useful technique for visualizing crystalline defects. However, its application has been restricted to investigating strain fields above the micron scale due to the limited spatial resolution of the topographic imaging methods. Consequently, x-ray diffraction investigations requiring submicron spatial resolution are carried out exclusively using a scanning method such as synchrotron x-ray microdiffraction. We have developed a new x-ray topography method to visualize the lattice distortion with a spatial resolution below 100 nm. This new method is similar to ``bright-field imaging'' in transmission electron microscopy (TEM), in that a set of x-ray optics is used to image the x-rays transmitted through the crystalline specimen in order to obtain high-resolution diffraction contrast images. In the bright field topography, both diffraction contrast and absorption contrast (i.e., inhomogeneity in density) are imaged, making it extremely useful for correlating the lattice distortion with the microscopic defects in the specimen. Our presentation will focus on the instrumentation details and the quantitative data analysis methods for our new technique, and will discuss potential applications. This research has been carried out in collaboration with Dr. Yuncheng Zhonga and Hanfei Yan in X-ray Science Division of Argonne National Laboratory and Dr. Jae Mok. Yi and Jung Ho Je in X-ray Imaging Center of POSTECH, Korea. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 4:18PM |
S6.00003: The 4$^{th}$ Generation Light Source at Jefferson Lab. Invited Speaker: Over the last 40 years the peak brightness of new synchrotron radiation sources has increased on average by an order of magnitude every 24 months!! By comparison, Moore's Law states that the number of transistors on an integrated circuit ``only'' doubles every 24 months. This talk will report on the physics and enabling technology of the latest round of brightness improvements, which have been achieved in the IR and THz range at Jefferson Lab but whose principles are extendable to light sources at shorter (uv to x-ray) wavelengths. Examples of scientific applications will also be given. The JLab facility is based on an Energy Recovered Linac (ERL),$^{1}$ rather than a storage ring. The power is then enhanced by multiparticle coherent effects,$^{2}$ while the source size is smaller because the horizontal emittance is approximately equal to the vertical emittance (round beams). In addition the bunch lengths are in the 100's of femtosecond range, allowing ultrafast phenomena to be studied. Finally, unlike conventional linac-based machines an ERL can operate continuously. $^{1}$G.R. Neil et al, Phys. Rev. Let. 84, 662 (2000). $^{2}$C. J. Hirschmugl, et al, Physical Review A44, 1316, (1991). [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:54PM |
S6.00004: Nanofocusing of hard x-rays with profile coated elliptical mirrors Invited Speaker: The ability to focus hard x-rays by means of mirrors has progressed recently to the achievement of focus sizes well below 100 nm.[1] At the Advanced Photon Source at Argonne National Laboratory elliptical mirrors have been made by means of profile coating. [2] In this technology a highly precise elliptically shaped surface is achieved by magnetron sputtering of Au onto a flat silicon substrate. Results will be presented to detail the rapid progress being made in this technology. Also, results for wave optical simulations will be summarized. [3]. X-ray mirrors are achromatic focusing optics, and a nanofocused beam is expected to have many uses for experiments in condensed matter physics. [1] W. Liu, G. Ice, J. Tischler, A. Khounsary, C. Liu, L. Assoufid, A. Macrander, Rev. Sci. Instrum. 76, 113701(2005). [2] C. Liu, L. Assoufid, R. Conley, A. Macrander, G. Ice, J. Tischler, Opt. Eng. 42, 3622 (2003). [3] C. Kewish, L. Assoufid, A. Macrander, j. Qian, Appl. Opt. , in press . [Preview Abstract] |
Session S7: Percolation
Sponsoring Units: GSNPChair: Robert Ziff, University of Michigan
Room: Colorado Convention Center Korbel 4A-4B
Wednesday, March 7, 2007 2:30PM - 3:06PM |
S7.00001: Percolation, Schramm-Loewner evolutions, and applications Invited Speaker: The area of two-dimensional (2D) critical phenomena has enjoyed a recent breakthrough. A radically new development (recognized just a few months ago by a Fields medal) termed the Schramm- (or stochastic) Loewner evolution (SLE), has given new tools to study criticality and conformal invariance in 2D. Percolation was a natural common ground for physicists and mathematicians, and played a crucial role in motivating and shaping up the emergence of SLE as a theoretical and computational tool. The new description focuses directly on non-local structures that characterize a given system, be it a boundary of an Ising or percolation cluster, or loops in the O$(n)$ model. This description uses the fact that all these non-local objects become random curves at a critical point, and may be precisely characterized by stochastic dynamics of certain conformal maps. In my talk I will review this recent development in relation to percolation, as well as touch upon its applications to other areas of physics. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:42PM |
S7.00002: 2d Turbulence, percolation and SLE Invited Speaker: We analyze isolines of scalar fields (vorticity, temperature) in different cases of 2d turbulence and found that they belong to the SLE class, i.e. to curves that can be mapped to 1d Brownian motion. Such curves have conformal invariant statistics. We find that vorticity isolines in 2d turbulence are equivalent (within our 5{\%} accuracy) to $SLE_6 $ i.e. to percolation despite the fact that the vorticity field is long-correlated and does not satisfy Harris criterium. We find that the temperature isolines in surface quasi-geostrophic turbulence belong to $SLE_4 $i.e. statistically equivalent to isolines of a Gaussian free field despite the fact that the temperature is non-Gaussian. Link with SLE allows one to obtain a variety of quantitative results going well beyond all we knew about turbulence before and hints about some deep analogy between turbulence and critical phenomena. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 4:18PM |
S7.00003: Percolation properties of complex networks with weak and strong clustering Invited Speaker: A diversity of systems in the real world can be analyzed as complex networks. This makes any theoretical development in the field potentially applicable to many different areas. As a germane example, percolation has helped us to understand, for instance, the high resilience of scale-free networks in front of the random removal of a fraction of their constituents, with important implications for communication or biological systems among others. In addition to its high theoretical interest, it serves as a conceptual approach to treat more factual problems on networks, such as the dynamics of epidemic spreading. On the other hand, when large systems of interactions are mapped into comprehensible graphs, just vertices and edges are usually recognized as the primary building blocks. However, transitive relations, represented by triangles and referred to as clustering, should also be taken into account as a basic structure whose presence and self-organization can drastically impact network structure and properties. In this framework, the introduction of clustering in the percolation analysis of complex networks represents a theoretical challenge. Previous approaches were based on the idea of branching process, which works well when the network is locally treelike and thus the clustering coefficient is very small. Real networks, however, are shown to have a significant level of clustering. They can be classified in networks with weak transitivity, in which triangles are disjoint, and networks with strong transitivity, where edges are forced to share many triangles. The class a network belongs to changes its percolation properties. For networks with weak clustering, we find analytically the critical point for the onset of the giant component and its size. By means of numerical simulations, we also prove that, when comparing with the unclustered counterpart, weak clustering hinders the onset of the giant connected component whereas it is favored by strong clustering. This is a direct consequence of the differences in the k-core structure for the two types of networks. In the particular case of scale-free networks, and although clustering can strongly affect the size and the resilience of the giant connected component, neither weak nor strong transitivity can restore a finite percolation threshold which, in turn, implies the absence of an epidemic threshold. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:54PM |
S7.00004: Critical 2-D Percolation: Crossing Probabilities, Modular Forms and Factorization Invited Speaker: We first consider crossing probabilities in critical 2-D percolation in rectangular geometries, derived via conformal field theory. These quantities are shown to exhibit interesting modular behavior [1], although the physical meaning of modular transformations in this context is not clear. We show that in many cases these functions are completely characterized by very simple transformation properties. In particular, Cardy's function for the percolation crossing probability (including the conformal dimension $1/3$), follows from a simple modular argument. \\ We next consider the probability of crossing between various points for percolation in the upper half-plane. For two points, with the point $x$ an edge of the system, the probability is \begin{equation} \nonumber {\cal P}(x,z)= k \frac1{y^{5/48}} \Phi(x,z)^{1/3} \end{equation} where $\Phi$ is the potential at $z$ of a 2-D dipole located at $x$, and $k$ is a non-universal constant. For three points, one finds the exact and universal factorization [2,3] \begin{equation} \nonumber {\cal P}(x_1,x_2,z)= C \; \sqrt{{\cal P}(x_1,z){\cal P}(x_2,z){\cal P}(x_1,x_2)} \end{equation} with \begin{equation}\nonumber C= \frac{8 \sqrt{2}\; \pi^{5/2}}{3^{3/4} \; \Gamma(1/3)^{9/2}}. \end{equation} These results are calculated by use of conformal field theory. Computer simulations verify them very precisely. Furthermore, simulations show that the same factorization holds asymptotically, with the same value of $C$, when one or both of the points $x_i$ are moved from the edge into the bulk.\\ 1.) Peter Kleban and Don Zagier, Crossing probabilities and modular forms, J. Stat. Phys. 113, 431-454 (2003) [arXiv: math-ph/0209023].\\ 2.) Peter Kleban, Jacob J. H. Simmons, and Robert M. Ziff, Anchored critical percolation clusters and 2-d electrostatics, Phys. Rev. Letters 97,115702 (2006) [arXiv: cond-mat/0605120].\\ 3.) Jacob J. H. Simmons and Peter Kleban, in preparation. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:30PM |
S7.00005: Quantum phase transitions on percolating lattices Invited Speaker: When a quantum many-particle system exists on a randomly diluted lattice, its intrinsic thermal and quantum fluctuations coexist with geometric fluctuations due to percolation. In this talk, we explore how the interplay of these fluctuations influences the phase transition at the percolation threshold. While it is well known that thermal fluctuations generically destroy long-range order on the critical percolation cluster, the effects of quantum fluctuations are more subtle. In diluted quantum magnets with and without dissipation, this leads to novel universality classes for the zero-temperature percolation quantum phase transition. Observables involving dynamical correlations display nonclassical scaling behavior that can nonetheless be determined exactly in two dimensions. Moreover, by exploring a relation between quantum Hamiltonians and classical nonequilibrium processes, we demonstrate that exotic percolation transitions can also occur in epidemic spreading and diffusion-limited chemical reactions. [Preview Abstract] |
Session S8: Superconductivity Theory: Nano and Mesoscopics
Sponsoring Units: DCMPChair: M.R. Beasley, Stanford University
Room: Colorado Convention Center Korbel 1C
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S8.00001: Multiple fluxoid transitions in mesoscopic superconducting rings Hendrik Bluhm, Nicholas C. Koshnick, Martin E. Huber, Kathryn A. Moler We report magnetic measurements of fluxoid transitions in mesoscopic, superconducting aluminum rings over nearly the whole superconducting temperature range. The transitions are induced by applying a flux to the ring so that the induced supercurrent approaches the critical current. Sufficiently close to $T_c$, the fluxoid number $n$ always changes by $\pm$ 1. Upon lowering the temperature, larger changes in $n$ occur. Below approximately 0.3 $T_c$, the final state after a transition is close to the ground state. The dynamics leading to this phenomenon are discussed in terms of the time dependent Ginzburg-Landau theory for gapless and gapped superconductors and a qualitative explanation based on the formation of a local hot spot. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S8.00002: Imprinting properties of magnetic vortices into superconducting films. Javier E. Villegas, C.-P. Li, Ivan K. Schuller We investigated experimentally the magnetotransport properties of superconducting Al thin films on top of sub-100 nm Fe nanodot arrays. Samples with arrays of single-domain magnetic dots behave similar to plain Al films. A dramatically different behavior is observed for arrays of dots in the so-called magnetic vortex-state. For these, the details of the magnetic reversal are ``imprinted'' into the superconductor and show up in the magnetotransport properties below the superconducting T$_{C}$. The resulting hybrid system shows a giant (up to 10$^{5}$ {\%}) hysteretic magnetoresistance with different reversible/irreversible regimes related to the array's magnetic state. Such controllable effects originate from the stray fields produced by the magnetic vortex cores in the nanodots, which induce a normal/superconducting transition depending on the distribution of the vortex cores polarities. [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S8.00003: Phase diagram and the metallic state in destructive regime in ultrathin doubly connected superconducting Al cylinders Haohua Wang, Neal Staley, Ben Clouser, Ying Liu We measured ultrathin, doubly connected superconducting cylinders of Al for which the kinetic energy due to a flux- dependent superfluid velocity, determined by fluxoid quantization, becomes comparable to or higher than the superconducting condensation energy. When the cylinder diameter, $d$, is less than zero-temperature superconducting coherence length, $\xi(0)$, superconductivity is lost around half-integer flux quanta, leading to a destructive regime predicted by de Gennes. Extending our previous work that confirmed de Gennes' prediction, we have discovered the existence of a new phase diagram in which the destructive regime emerges around three-half flux but not half-flux quanta in a cylinder with $d > \xi(0)$, a case not considered by de Gennes. We also measured systematically the resistance in the destructive regime and found that the normal state resistance was fully recovered at $d/\xi(0) \leq 0.77$. The implications of these observations will be discussed. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S8.00004: Fluctuation Superconductivity in Mesoscopic Quasi-1D Superconducting Rings Nicholas C. Koshnick, Hendrik Bluhm, Martin E. Huber, Kathryn A. Moler We experimentally probe the phase rigidity of quasi-1D superconducting rings in regimes where fluctuation effects are important. The results demonstrate a scanning SQUID microscope technique which can distinguish the field from thermodynamic currents in individual mesoscopic samples from seven orders of magnitude of applied background field. Contrary to earlier results [1] we find agreement with theoretical predictions for phase rigidity when small phase gradients are present around the ring. We show that this theoretical framework can also explain the qualitatively different fluctuations at finite flux $\Phi$ in a regime where the Little-Parks effect is important $T_c(\Phi) < T < T_c(\Phi = 0)$. \\ Reference 1. Xiaxian, Z. and J.C. Price, Susceptibility of a mesoscopic superconducting ring. Physical Review B, 1997. 55(5): p. 3128-40. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S8.00005: Switching-current distributions for superconducting nanowires. Mitrabhanu Sahu, Andrey Rogachev, David Pekker, Tzu-Chieh Wei, Nayana Shah, Paul M. Goldbart, Alexey Bezryadin The decay of metastable states plays a crucial role in the implementation of quantum devices. Switching between the superconducting and resistive states of a superconducting nanowire is an example of such a decay. Here, we report new results on the switching-current distribution measured on amorphous superconducting Mo$_{79}$Ge$_{21}$ and Nb nanowires at various temperatures. A premature switching between the superconducting (V$\sim $0) state and the resistive (V$\sim \Delta )$ state is a stochastic process. To study this process we have performed several thousand measurements at each temperature setting. We observe an anomalous temperature dependence of the switching current distribution. Unlike in other similar measurements, our distribution widens as the temperature is reduced.We have also calculated the escape rates from the superconducting state to the resistive state from these distributions. We discuss the possibility of describing such behavior in terms of thermal and quantum phase slips. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S8.00006: S-shaped Nonlinearities in the I(V) Characteristic of YBa$_2$Cu$_3$O$_{7-\delta}$ Microstrips Due to the Presence of Phase Slip Lines. P. Morales, J.Y.T. Wei Optimally doped high-$T_c$ superconducting YBa$_2$Cu$_3$O$_{7-\delta}$ microstrips were fabricated using a chemical-free technique based on selective epitaxial growth. Pulsed I(V) measurements of the YBa$_2$Cu$_3$O$_{7-\delta}$ microstrips exhibit steps under current biasing and an s-shaped nonlinearity under voltage biasing. Similar features have been seen in narrow superconductors, where $w < \xi$, and are explained by the formation of phase slip centers. The presence of these features in YBa$_2$Cu$_3$O$_{7-\delta}$ microstrips are indicative of the formation of phase slip lines, 2D analogs of phase slip centers. The evolution of the s-shaped nonlinearity was studied as a function of temperature and applied magnetic field and will be discussed with respect to the stiffness of the phase and the amplitude of the superconducting order parameter in the high-$T_c$ cuprates. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S8.00007: Microscopic theory of thermal phase slips in clean narrow superconducting wires Alexander Zharov, Andrei Lopatin, Alexei Koshelev, Valerii Vinokur We consider structure of a thermal phase-slip center for a simple microscopic model of a clean one-dimensional superconductors in which superconductivity occurs only within one conducting channel or several identical channels. Surprisingly, the Eilenberger equations describing the saddle-point configuration allow for exact analytical solution in the whole temperature and current range. This solution allows us to derive a closed expression for the free-energy barrier, which we use to compute its temperature and current dependences. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S8.00008: Vortices in superconducting nanoshells. Jacques Tempere, Vladimir Gladilin, Isaac Silvera, Jozef Devreese A nanoshell consists of a nanoscopic grain of insulator (typically Si0$_{2})$ on which a thin layer of metal is deposited. If the material used to make the thin shell is superconducting, the nanoshell itself will exhibit superconducting order. When the superconducting nanoshell is placed in a magnetic field, vortices can be nucleated near the equator of the spherical shell, and will move towards the poles of the nanoshell where they are trapped. Using the Ginzburg-Landau equations adapted for the spherical geometry, we investigate the possibility for giant vorticity and multi-vortex states on thin spherical shells, as a function of shell radius and magnetic field. Furthermore we show that this nanostructure shows potential for flux trapping, as it has a strong magnetization hysteresis. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S8.00009: Proximity induced superconductivity and multiple Andreev reflections in Graphene Alexandros Shailos, Willy Nativel, Alik Kasumov, Christian Collet, Meydi Ferrier, Sophie Gu\'eron, Richard Deblock, H\'el\`ene Bouchiat We have investigated electronic transport of a graphene layer connected to superconducting electrodes. The device is prepared by mechanical exfoliation of graphite. A small mesa of graphene is placed on top of a silicon substrate covered by Alumina and 2 electrodes of tungstene separated by 2.5 microns are grown using a focus ion beam. Whereas tungstene electrodes are superconducting below 4K, proximity induced superconductivity in graphene is observed below 1K with a large differential resistance drop at low bias voltage. Signatures of multiple Andreev reflections are observed as peaks located at voltages corresponding to sub-multiple values of 2$\Delta $/e where $\Delta $ is the superconducting gap of the electrodes. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S8.00010: Size quantization effect in graphite based proximity systems Andreas Bill, Vladimir Z. Kresin We discuss size-quantization (SQ) effects in a proximity system made of a graphite thin film deposited onto a superconductor. We show that SQ leads to oscillations of the superconducting critical temperature $T_c$ as a function of the thickness of the graphite layer. This oscillation is due to the peculiar behavior of the density of state in size-quantized systems. The calculated period is directly related to major parameters of the semimetal and thus to the normal state properties of the bilayer. Recent experiments made on graphite/superconductor bilayers are discussed in the framework of the theory. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S8.00011: Theory of superconductivity in multiwall carbon nanotubes Enrico Perfetto, Jose Gonzalez Recently superconductivity has been observed at 12K in multiwall carbon nanotubes (MWNTs). The key feature in the experimental setup is that almost all the shells in the MWNTs are electrically active. Here we propose a model for the MWNTs where the electrons live in a large number of coupled one- dimensional systems, reminiscent of the hexagonal Fermi surface of the MWNTs. We pay attention to the competition between the screened Coulomb repulsion and phonon-mediated electron- electron interaction. The low-energy behaviour of the model is studied with one-loop renormalization group. We find that by lowering the energy scale the inter-shell Cooper pair tunnelling amplitude grow large, inducing a superconducting instability with p-wave order parameter. The phase diagram shows that the superconducting phase dominates for large radii of the MWNTs and by doping the system. At low doping and small radius a competition with charge density wave instability is observed. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S8.00012: Synthesis and characterization of superconducting NbN nanowires and nanoribbons U. Patel*, Z. L. Xiao*, H. Claus, J. Hua*, R. Divan, U. Welp, W. K. Kwok The role of one-dimensional nanostructures has recently gained wide importance due to their novel properties and potential applications in electronics. Here, we report a two-step approach to synthesize one-dimensional superconducting NbN nanowires and nanoribbons by converting NbSe$_{3}$ nanostructures. First, NbSe$_{3}$ nanostructure precursors were prepared by sintering niobium and selenium powders in an evacuated quartz tube. Subsequently, these NbSe$_{3}$ nanostructures were transformed into NbN under an atmosphere of ammonia gas at a reaction temperature of up to 1000 \r{ }C. Superconducting transition temperatures up to 10 K were obtained from both magnetization and four-probe transport measurements. We also carried out morphology and structural characterizations of these NbN nanostructures. This material is based upon work supported by the US Department of Energy, under Award Numbers DE-FG02-06ER46334 and DE-AC02-06CH11357 . [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S8.00013: Magnetoresistance of granular superconductors at low temperatures Igor Beloborodov I will discuss the resistivity of granular superconductors in the presence of magnetic field at low temperatures. It is assumed that the tunneling between grains is large such that all conventional effects of localization can be neglected. I will show that at low temperatures the superconducting fluctuations reduce the one-particle density of states but do not contribute to transport. As a result the resistivity in the transition region exceeds the normal state value leading to a negative magnetoresistance. I will also analyze the possibility of the formation of a magnetic field induced insulating state in a two dimensional granular superconductors and show that such a state appears in a model with spatial variations of the single grain critical magnetic field. This model describes realistic granular samples with the dispersion in grain sizes and explains a mechanism leading to a giant peak in the magnetoresistance. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S8.00014: Interplay between electronic transport and mechanical degrees of freedom in metallic atomic-size contacts Alexei Marchenkov, Zhenting Dai, Brandon Donehoo, Chun Zhang, Robert Barnett, Uzi Landman Comprehensive measurements of transport properties of the smallest metallic contacts, both in normal and superconducting states, may provide sufficient information to identify their atomic structure. We demonstrated completely reversible mechanical manipulation of the electronic state of niobium atomic-size contacts. This regime includes switching between two distinct configurations manifested as two-level conductance fluctuations. Synergetic first-principles numerical modeling of the structure and transport properties, based on the combination of the Density Functional Theory and Non-Equilibrium Green's Functions formalism, revealed that these contacts consist of niobium dimers trapped between apexes of bulk leads. The observed bistability was associated with the dimer shuttling between a symmetric and an asymmetric configurations in the gap. Point contact spectroscopy of these configurations reveals, on top of the expected signatures due to phonon modes, features, which we associate with the excitation of the vibrational modes of the trapped dimer. Finally, we discuss the evidence that these vibrations can be caused by the intrinsic Josephson radiation. [Preview Abstract] |
Wednesday, March 7, 2007 5:18PM - 5:30PM |
S8.00015: Phonon Squeezing in a Superconducting Molecular Transistor Alex Zazunov, Denis Feinberg, Thierry Martin Josephson transport through a single molecule or carbon nanotube is considered in the presence of a local vibrational mode coupled to the electronic charge. The ground-state solution is obtained exactly in the limit of a large superconducting gap and is extended by variational analysis. The Josephson current induces squeezing of the phonon mode, which is controlled by the superconducting phase difference and by the junction asymmetry. Optical probes of nonclassical phonon states are briefly discussed. [Phys. Rev. Lett. 97,196801 (2006)] [Preview Abstract] |
Session S9: Superconductive Tunneling
Sponsoring Units: DMPChair: Myron Salamon, University of Texas at Dallas
Room: Colorado Convention Center Korbel 1D
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S9.00001: An asymmetric SQUID for measurement of ultra-small Josephson junctions D.F. Sullivan, J.R. Anderson, C.J. Lobb, F.C. Wellstood Ultra-small Josephson junctions offer a variety of potential applications, as well as an opportunity to probe the Josephson effect at the nanoscale. Such junctions, however, are susceptible to fluctuations in the phase difference, $\gamma _{1}$, across the junction, which leads to a suppression of the critical current I$_{01}$. The relevant energies which govern the physics of Josephson junctions are the charging energy E$_{C}$, the Josephson coupling energy E$_{J}$, and the thermal energy k$_{B}$T. Small junctions have E$_{C}$/E$_{J} \quad >>$ 1, while large junctions, with stable critical currents, have E$_{C}$/E$_{J} \quad <<$ 1. A potential method for stabilizing the phase across a small junction will be presented, which entails shunting it with an additional capacitance C$_{1 }$and incorporating it in a SQUID loop with another junction having a much larger critical current I$_{02}$. The SQUID loop inductance, L, couples $\gamma _{1}$ to the\textit{ stable} phase difference $\gamma _{2}$ of the large junction. Thus, by properly choosing L and C$_{1}$, the uncertainty in $\gamma _{1 }$should be reduced, allowing a precise measurement of I$_{01}$. In addition to the theoretical arguments behind this approach, experimental data incorporating these ideas will be presented. This work was supported by the National Science Foundation. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S9.00002: The interplay of the gap, the magnetic resonance, and the van Hove singularity Giorgio Levy, Christophe Berthod, Oystein Fischer The characteristic features of the tunneling spectra in the Bi-based HTS are a $d$-wave like gap structure, strong and often asymmetric coherence peaks, and an asymmetric dip-hump structure at higher energy. Hoogenboom \textit{et al.} [1] analysed the spectra of the two-layer compound Bi2212 and showed that all of these properties can be understood assuming $d$-wave superconductivity, a band structure as measured by ARPES, and an interaction of the quasiparticles with the magnetic resonant mode. In particular the asymmetric dip-hump results in this model from the interplay of the gap, the mode and the van Hove singularity present in the band structure. Here we analyse new data for the three-layer compound Bi2223. Unlike in Ref.~[1], we perform full unconstrained least-square fits in order to determine the various parameters of the model directly from the experimental data. This allows us to determine the doping dependence of the gap and of the magnetic resonance energy. [1] B. W. Hoogenboom, C. Berthod, M. Peter, \O. Fischer, and A. A. Kordyuk, Phys. Rev. B \textbf{67}, 224502 (2003). [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S9.00003: Scanning Tunneling Spectroscopy of Bi$_{2}$Sr$_{2}$CuO$_{6+x}$ Kamalesh Chatterjee, M.C. Boyer, W.D. Wise, Ming Yi, Takeshi Kondo, E.W. Hudson Scanning tunneling microscopy has revealed many interesting spectral features of the high temperature superconductors, including the nature of atomic scale defects like single atom impurities and magnetic vortices and the existence of inhomogeneity. Most of these studies have focused on the bilayer compound Bi$_{2}$Sr$_{2}$CaCu$_{2}$O$_{8+x}$ (Bi-2212). Here we present scanning tunneling microscopy results from its single layer relative, Bi$_{2}$Sr$_{2}$CuO$_{6+x}$ (Bi-2201), comparing and contrasting these measurements with previously reported results from Bi-2212. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S9.00004: Temperature-Dependent STM Studies of the High Temperature Superconductor BSCCO Ming Yi, Kamalesh Chatterjee, M.C. Boyer, W.D. Wise, Takeshi Kondo, E.W. Hudson Even as the relationship between the superconducting and pseudogap states in high temperature superconductors remains mysterious, scanning tunneling microscopy has revealed a number of similarities and differences between spectroscopy in the two phases. Unfortunately, until now spectra from the same position have not been reported. Here we present results of spectroscopy on identifiable atoms, followed from 4 K to well above the superconducting transition temperature. In particular we will focus on implications for the relationship between the superconducting and pseudogap phases in BSCCO. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S9.00005: Point-contact spectroscopy of the pure and Cd-doped heavy-fermion superconductor CeCoIn$_{5}$ W. K. Park, L. H. Greene, J. L. Sarrao, J. D. Thompson, L. D. Pham, Z. Fisk Point-contact spectroscopy has been performed on pure and Cd-doped CeCoIn$_{5}$. Conductance spectra of CeCoIn$_{5}$ along three different orientations exhibit consistent features: i) background asymmetry; ii) Andreev reflection signal over similar energy scales ($\sim $1 meV) and of similarly reduced magnitudes (10 - 13{\%}) at zero-bias.$^{1,2}$ Comparison with the extended Blonder-Tinkham-Klapwijk model calculations indicate the first spectroscopic evidence for $d_{x2-y2}$ symmetry of the superconducting order parameter.$^{1,2}$ A two-fluid model$^{3}$ will be discussed to explain the asymmetry and the reduced Andreev reflection. Cd-doped (10{\%}) CeCoIn$_{5}$ exhibits intriguing conductance behaviors as a function of temperature and magnetic field, undergoing antiferromagnetic and superconducting transitions: a broad zero-bias peak below $T_{N}$ and two competing conductance channels below $T_{c}$. 1.$^{ }$W.K. Park \textit{et al}., PRB \textbf{72}, 052509 (2005); cond-mat/0507353; cond-mat/0606535. 2.$^{ }$W.K. Park and L.H. Greene, PRL \textbf{96}, 259702 (2006). 3. S. Nakatsuji, D. Pines and Z. Fisk, PRL \textbf{92}, 016401 (2004). Work supported by the U.S. DoE DEFG02-91ER45439 through the FSMRL and the CMM at UIUC, by NSF-DMR-0503360 at UCD {\&} UCI, and performed at LANL under auspices of the U.S. DoE, office of Science. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S9.00006: Superconducting gap anisotropy in LuNi$_{2}$B$_{2}$C by point-contact spectroscopy Xin Lu, Wan Kyu Park, Laura H. Greene, Jung-Dae Kim, Sunmog Yeo, Sung-IK Lee The superconducting gap anisotropy in non-magnetic members of the intermetallic borocarbide family still remains controversial. Several scenarios have been proposed including the s+g pairing symmetry and multi-band/multi-gap superconductivity. In order to address this issue, especially the puzzling existence of point nodes along $a$- and $b$-axis, we apply the point-contact spectroscopy technique to investigate the superconducting gap structure of single crystals LuNi$_{2}$B$_{2}$C ($T_{c}\sim $16.5 K) along three different crystallographic orientations. \textit{ab}-plane surfaces are prepared by embedding and polishing crystals and their orientations are confirmed by X-ray diffraction. Our preliminary conductance data, analyzed by the one-band Blonder-Tinkham-Klapwijk model, show anisotropic gap values, $\sim $1.6 meV and $\sim $2.6 meV, along (001) and (110) directions, respectively. We will discuss the possible origin for the gap anisotropy. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S9.00007: What is local about the Local Density Of States? Reza Jamei, John Robertson, Eun-Ah Kim, Alan Fang, Aharon Kapitulnik, Steven Kivelson While the influence of impurities on the local density of states (LDOS) in a metal is notoriously non-local due to interference effects, low order moments of the LDOS in general can be shown to depend only on the local structure of the Hamiltonian. Specifically, we show that an analysis of the spatial variations of these moments permits one to ``work backwards'' from scanning tunneling microscopy (STM) data to infer the local structure of the underlying effective Hamiltonian. Applying this analysis to STM data from the high temperature superconductor, BSCCO, we find that the variations of the electro-chemical potential are remarkably small (i.e., the disorder is, in a sense, weak) but that there are large variations in the local magnitude of the d-wave gap parameter. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S9.00008: Tunneling DOS of Superconductor / Strong Ferromagnet Bilayers Paul SanGiorgio, Malcolm Beasley, Serge Reymond, Jun Hyung Kwon, Tesu Kim, Kookrin Char We report tunneling density of states (DOS) studies of superconductor (Nb) / strong ferromagnet (CoFe, Ni) bilayers along with quantitative comparisons with calculations made with the Usadel equation. Since both CoFe and Ni are quite strong ferromagnets, we expect theoretically that the DOS we observe as a function of ferromagnet thickness, $d_F$, should be similar. Instead, we find that the Nb/CoFe superconducting DOS exhibits a scaling behavior with a characteristic length of $0.4$~nm, whereas the Nb/Ni superconducting DOS does not scale. Further, the Nb/Ni bilayers have a ``double peak'' DOS for $d_F = 1.5 - 3.0$~nm and for $d_F = 3.5 - 4.0$~nm, we report the first observation of an ``inverted'' DOS in a strongly ferromagnetic material. Various modifications to the basic Usadel equation will be compared to the experimental data. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S9.00009: Node-like excitations in superconducting PbMo$_6$S$_8$ probed by scanning tunneling spectroscopy Gilles Santi, C\'edric Dubois, Alexander Petrovic, {\O}ystein Fischer We present the first scanning tunneling spectroscopy study on the Chevrel phase PbMo$_6$S$_8$, an extreme type II superconductor with a coherence length only slightly larger than in high-$T_c$ cuprates. Tunneling spectra measured on atomically flat terraces are spatially homogeneous and show well-defined coherence peaks. The low-energy spectral weight, the zero bias conductance and the temperature dependence of the gap are incompatible with a conventional isotropic $s$-wave interpretation, revealing the presence of low-energy excitations in the superconducting state. We show that our data are consistent with the presence of nodes in the superconducting gap. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S9.00010: Superconducting and vortex properties of the $\beta$-pyrochlore KOs$_2$O$_6$ probed by scanning tunneling spectroscopy C\'edric Dubois, Gilles Santi, {\O}ystein Fischer The pyrochlore superconductor KOs$_2$O$_6$ was studied by scanning tunneling spectroscopy in both the Meissner and vortex states. In view of the controversy concerning the gap symmetry in this material, several symmetry scenarii were tested against our measured spectra. We find that a very anisotropic (40\%) s-like gap accounts best for the measured data. This could be interpreted as the signature of a singlet-triplet mixed state allowed by the absence of inversion symmetry in this compound. Vortices were observed for both magnetic fields considered (2 and 6~T) and were arranged in a hexagonal lattice. From the decay of the zero bias conductance away from the vortex cores, we obtain coherence lengths around 3--4~nm, in line with previous estimates based on $H_{\mathrm{c2}}$. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S9.00011: Doping-dependent effect of competing orders (CO) on low-energy quasiparticle (QP) excitations in cuprate superconductivity (SC) Andrew Beyer, Ching-Tzu Chen, Nai-Chang Yeh There is general consensus from experimental and theoretical studies of cuprate superconductors that CO with energies close to the SC gap exist in the cuprates and that at times they can coexist with SC in the ground state. Clarifying the exact role of CO requires both theoretical insight into the microscopic physics and sensitive experimental tools to determine the QP properties. We present an experimental and theoretical investigation of the low-energy QP excitations from coexisting CO and SC in hole-type Bi$_{2}$Sr$_{2}$CaCu$_{2}$O$_{x}$ and YBa$_{2}$Cu$_{3}$O$_{x }$and in electron-type La$_{0.1}$Sr$_{0.9}$CuO$_{2}$. Our studies involve numerical simulations using a microscopic model of coexisting SC/CO and realistic bandstructures to fit experimental QP tunneling spectra to extract doping dependent CO and SC parameters. We suggest that the low-energy pseudogap is associated with CO being either charge-density waves or disorder-pinned spin-density waves but not d-density waves. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S9.00012: The duality of the density orderings in the high temperature superconductor Kangjun Seo, Jiangping Hu, Handong Chen We study a d-wave superconductor with possible orders in both the particle-particle and the particle-hole channels using the Bogoliubov-deGennes technique. In the superconducting phase, a duality exists in the particle-particle and particle-hole ordering channels. A small pair density localization generates the d-wave checkerboard density order(DWCB) in the particle-hole channel and the extended s-wave density order(PDW) in the particle-particle channel. The mixed state of DSC with DWCB and PDW with 4$a \times 4a$ periodicity can explain the checkerboard modulation observed in FT-STS from STM as well as the characteristic features such as non-dispersive Fermi arc in the pseudogap state. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S9.00013: Coulomb Interaction-induced Checkerboard Patterns in Disordered Cuprates Degang Zhang We study the effect of the Coulomb interaction on the local density of states (LDOS) and its Fourier component in disordered cuprates. It is shown that the Coulomb interaction suppresses strongly the maximum value of the LDOS induced by the dopant impurity at each energy and expands significantly the Friedel oscillation in real space. The existence of the Coulomb interaction with a moderate strength yields an energy- dependent checkerboard LDOS modulation around the impurity, which is very different from that produced by pure quasiparticle interference. The orientation and transformation of the checkerboard pattern with energy and the relations among the modulation vectors, dopings and the bias voltages agree qualitatively with the recent STM experiments. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S9.00014: Atomic Scale Imaging of Quasiparticle Lifetimes in Bi2Sr2CaCu2O8+d J.W. Alldredge, Jinho Lee, K. McElroy, K. Fujita, M. Wang, J.A. Slezak, H. Eisaki, S. Uchida, J.C. Davis Using a d-wave superconductor model with the addition of a $\Gamma _{1}+\Gamma _{2}$*Energy term we are able to relate the observed quasiparticle spectrum to two $\Gamma $ parameters which give us the quaisparticle lifetime on the atomic scale. The quasiparticle lifetime is related to classic impurity atoms (zinc) as well as local suppression of the superconducity at low dopings. The quasiparticle lifetime is shown to change both in spatial distribution and in value as a function of doping. We compare our measured lifetimes to ARPES data and to residual conductance measurements. [Preview Abstract] |
Session S10: Heavy Fermion Effects in Pu, Ce, and U
Sponsoring Units: DMPChair: Cedomir Petrovic, Brookhaven National Laboratory
Room: Colorado Convention Center Korbel 1E
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S10.00001: Theory of magnetism in Pu at high magnetic fields Per Soderlind Density-functional theory (DFT), in conjunction with the fixed-spin-moment (FSM) method, spin-orbit coupling (SO), and orbital polarization (OP), is shown to provide an apparent accurate picture of $\delta $-Pu, while opening the possibility of a zero net magnetic moment due to complete spin- and orbital-moment cancellation. Calculated total energies, photoemission spectra, and magnetic form factors appear consistent with available experimental data. Calculations including SO, OP and the Zeeman term in the Hamiltonian, address Pu in high magnetic fields $\sim $ 100 T. The Pu phase stability, as a function of applied field, is also investigated. This work was performed under the auspices of the US DOE by the UC LLNL under contract no. W-7405-Eng-48. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S10.00002: Strongly correlated state in $\delta$-Pu and Am A. Shick, J. Kolorenc, L. Havela, V. Drchal We investigate the electron-electron correlation effects in the vicinity of the localization threshold of the 5f series, which is crossed between Pu and Am. The ground state electronic and magnetic properties are calculated making use of static {\em around-mean-field} LDA+U approximation. We obtain non-magnetic $\delta$-Pu with 5f occupation $n_f$ = 5.4, and non-magnetic $fcc$-Am with $n_f$=6.0. The equilibrium volumes and bulk moduli are obtained in a good agreement with experiment. For Pu-Am alloys, neither tendency to a 5f localization nor formation of Pu local magnetic moments was found despite a lattice expansion caused by the Am atoms. The excitation spectra of $\delta$-Pu and $fcc$-Am are calculated on the basis of the Dynamical Mean-Field theory (DMFT). Starting from LDA+U ground state we included multiplet transitions using the Hubbard-I approximation [1], which gives a good agreement with experimental photoelectron spectra of $\delta$-Pu, Am, and their selected compounds. The spectral density at Fermi level explains the high $\gamma$-coefficient of the electronic specific heat found experimentally in $\delta$-Pu. The calculations show that atomic-like excitations can be observed in a solid-state environment even if the 5f states are not fully localized as in $\delta$-Pu. [1] A. Shick, J. Kolorenc, L. Havela {\em et al.}, arXiv: cond- mat/0610794 (2006). [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S10.00003: Dynamical Mean Field Treatment of the Valence Transition in Yb Erik Ylvisaker, Andrew McMahan, Warren Pickett At ambient pressure, Yb metal is a divalent rare-earth with configuration $f^{14}v^2$ where $v$ represents the valence ($s$, $p$, $d$) occupation. When pressure is applied it undergoes a gradual transition to a trivalent configuration ($f^ {13}v^3$), completing by $34$ GPa. We investigate this valence transition using the all-electron DMFT(HI) method (which includes 6s, 6p, 5d and 4f in the basis) with the Hubbard I atomic solver. Experimental evidence suggests that this transition involves a linear combination of these two many-body states ($\alpha f^{13} + \beta f^{14}$) with $\alpha$ increasing as pressure is applied. We present evidence that DMFT can appropriately model this type of wavefunction, and that this is necessary to capture the gradual nature of the valence transition in Yb. The DMFT(HI) results are sensitive to the parameter chosen for the $4f$ energy level and its volume dependence; however, a good description of the valence transition can be achieved with reasonable adjustments in this function. We also compare and contrast DMFT results with LDA+U, which seems to have fundamental difficulties in modeling this transition. The equation of state provided by the DMFT(HI) method is significantly more accurate than the LDA or LDA+U methods give. More rigorous DMFT (QMC) calculations are currently underway to establish what the accuracy of the HI approximation is. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S10.00004: From $\delta$-Pu to PuCoGa$_5$: Kondo effect investigations of strong electronic correlations in Pu Eric D. Bauer, J. N. Mitchell, D. S. Schwartz, J. D. Thompson, J. L. Sarrao Within the actinide series, plutonium is located at the itinerant/localized boundary between the strongly hybridized 5 $f$ states of U and localized 5$f$ states of Am. The hybridization is intimately connected with the range of interesting behavior found in elemental Pu such as six allotropic phases, the largest effective mass enhancement of any element, and a liquid phase whose density is less than its solid phase, much like ordinary water. Despite decades of research, the strongly correlated electron state of Pu is still not understood. The exciting discovery of superconductivity in PuCoGa$_5$ with T$_c$ = 18.5 K, offers a possibility of understanding strong electronic correlations in Pu. The 5$f$ electrons in PuCoGa$_5$ are neither fully localized nor fully itinerant, similar to the cubic delta phase of Pu. Investigations of the Kondo effect, considered to be the hallmark of strong electronic correlations, in dilute intermetallic systems such as {\bf{Th}}Pu and Lu$_{1-x}$Pu$_x$CoGa$_5$ will yield information about the orbital degeneracy of the Pu 5$f$ electrons, their degree of localization, and a characteristic energy scale of the electronic correlations. Measurements of magnetic susceptibility, electrical resistivity, and specific heat will be presented. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S10.00005: Ultra-High Magnetic Field Study of Actinide Elements Charles Mielke, Ross McDonald The magnetic susceptibility and electrical conductivity of elemental plutonium and uranium are predicted to reveal the highly correlated nature of the elements upon application of very intense magnetic fields. A specialized Ultra-High Field generation system has been built and commissioned to study the effects of applied magnetic fields to actinide specimens. Magnetic fields to 150 tesla are routine with maximum field intensity extending to well above 200 tesla. The single turn system is designed to generate fields above 100 tesla while not damaging or dispersing the sample under study. First experimental results will be discussed as well as brief review of the system and techniques. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S10.00006: Volume collapse in Ce alloys under pressure by neutron diffraction James L. Smith, Anna Llobet, Sergei M. Stishov, Darrick Williams, Jason C. Lashley Neutron-diffraction measurements under hydrostatic pressure up to 10 kbar were performed on the Ce$_{0.9-x}$La$_{x}$Th$_{0.10}$ system to investigate the tricritical point at $x_{c}$ = 0.14. For $x < \quad x_{c}$, we observe first-order transitions with a pressure derivative of the transition temperature, d$T$/d$P$ = 20 K/kbar. For $x \quad > \quad x_{c}$ we observe a continuous transition that is second order, which again demonstrates a tri-critical point in the pressure-temperature phase diagram. The results will be presented and discussed. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S10.00007: Phonon Anomalies in $\alpha$-Uranium Xiaodong Yang, Peter Riseborough The temperature dependence of the phonon spectra of $\alpha$- uranium has recently been measured by Manley {\it et al.}[1] using inelastic neutron scattering and inelastic x-ray scattering techniques. Although there is little evidence of any anharmonicity, the phonon shows some softening in the optic modes at the zone boundary. In a later publication [2], an extra mode was reported to form at high temperatures, which is incompatible with a structure composed of a monoclinic Bravais lattice with a two-atom basis. We investigate the effect that the f electron-phonon interaction has on the phonon spectrum and its role on the possible formation of a breathing mode of mixed electron and phonon character. \newline \newline [1] M. E. Manley, B. Fultz, R. J. McQueeney, C. M. Brown, W. L. Hults, J. L. Smith, D. J. Thoma, R. Osborn, and J. L. Robertson, {\it Phys. Rev. Lett.} 86 (2001), p3076. \newline [2] M. E. Manley, M. Yethiraj, H. Sinn, H. M. Volz, A. Alatas, J. C. Lashley, W. L. Hults, G. H. Lander, and J. L. Smith, {\it Phys. Rev. Lett.} 96 (2006), p125501. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S10.00008: Spectral Properties of Elemental Pu Studied by LDA+DMFT Jian-Xin Zhu, A.K. McMahan, M.D. Jones, J.M. Wills, R.C. Albers The merger of density functional theory in the local density approximation and the many-body dynamical mean field theory is a powerful theoretical technique for the study of strongly correlated electron materials. We present calculations of spectral properties of the $\delta$-phase plutonium by combining for the first time the sophisticated tight-binding method with a recent implementation of quantum Monte Carlo techinque. The tight-binding parameters are determined from the fit to the full-potential linearized augmented plane-wave calculation for the face-centered-cubic crystal structure of the slightly compressed $\delta$-phase plutonium. The computationally more expensive but rigorous quantum Monte Carlo simulation is supplemented by the more efficient but approximate Hubbard-I method. By comparing the calculations without and with spin-orbit interaction included, we discuss our results in the context of several key features observed in the photoemission spectroscopy. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S10.00009: Role of Phonons in Heavy Fermion Volume Collapse within the Periodic Anderson Model M. A. Majidi, J. Moreno, B. Moritz, A. Macridin, M. Jarrell, A. K. McMahan X-ray and neutron diffraction studies by Jeong et al. indicate the involvement of phonons in the volume collapse of Cerium. Lattice vibrations may also be important in other heavy fermion materials with large volume changes such as Praseodymium. Whether phonons drive the volume change, or the effect has an electronic origin and phonons play a secondary role, is unknown. We address this problem within the Periodic Anderson Model by introducing Holstein phonons coupled with the localized f electrons. We solve this model in three dimensions using the dynamical cluster Quantum Monte Carlo technique to incorporate non-local correlations. In the Kondo regime we calculate the renormalized d-f hybridization with respect to the on-site Coulomb repulsion and the electron-phonon coupling at various temperatures. We also investigate the temperature dependence of the isotropic ionic displacements and compare them with Jeong's experimental results. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S10.00010: Fermi Surface of the Kondo Lattice Antiferromagnets and Ferromagnets: A Continuum Field Theory Approach Seiji Yamamoto, Qimiao Si Studies in the quantum phase transitions of heavy fermion metals have raised the question about the nature of the Fermi surface in a heavy fermion antiferromagnet (AF). Related questions are relevant to their ferromagnetic (FM) counterparts. Here, we study the Kondo lattice model in the limit that the Kondo coupling is small compared to the direct (RKKY) exchange coupling. We map the spin-$1/2$ Heisenberg Hamiltonian for the local moment component to a quantum nonlinear sigma model. This leads to an effective coupling between a vector boson field and the conduction electrons, which is dominated by the forward-scattering channel. There is also a Berry phase term which can be ignored in the AF case, but must be included in the FM case. We establish that the Fermi surface in the AF case is small [1], and also present the results for the FM case. The implications for the global zero-temperature phase diagram of the FM/AF heavy fermions are discussed. [1] S. J. Yamamoto and Q. Si, cond-mat/0610001 [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S10.00011: Non-linear Sigma Model of Kondo Lattice in Antiferromagnetic Regime Tzen Ong, Barbara Jones We analyze the antiferromagnetic transition in heavy fermion compounds in two dimensions, which we study using the Kondo-Heisenberg model. The system is assumed to be in the antiferromagnetic regime, with a Heisenberg coupling (J$_{H})$ that is larger than the Kondo coupling (J$_{K})$. The Heisenberg terms are mapped onto a non-linear sigma model, and the fermions are then formally integrated out to obtain an effective theory for the Neel field. We then study the evolution of the Heisenberg and Kondo couplings under renormalization, and calculate the critical exponents at the phase transition. We also find that a mean field calculation of this model gives a pairing state (superconductivity) in part of the J$_{H}$ /J$_{K}$ phase space. By symmetry arguments, unless the transition from AFM to SC is first order, this suggests the existence of a new state in between the antiferromagnetic and SC states, and we consider the possibility of a novel new ground state in this regime. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S10.00012: Nonperturbative Scaling of the Disorder Quenched Kondo Effect Stefan Kettemann, Andrey Zhuravlev, Isa Zharekeshev, Eduardo Mucciolo The quenching of the Kondo effect in weakly disordered metals with dilute magnetic impurities is studied by means of the numerical renormalisation group method. Using the one particle basis of a disordered tight binding model on a square latttice of size L, we calculate the temperature dependence of the local magnetic susceptibility. We find a finie probability that the magnetic moment remains unscreened at the lowest temperatures. This probability is calculated as function of the exchange coupling J,lattice size L and disorder amplitude W. These results are compared with analytical and numerical methods, based on the solution of the self consistent 1-loop equation (Nagaoka-Suhl), as well as with the numerical soultion of the 2-loop renormalisation group equation. Experimental consequences for disordered metals are studied. In particular, it is shown that the presence of magnetic impurities with small Kondo temperatures enhances the electron's dephasing rate at low temperatures in comparison to the clean metal case. [Preview Abstract] |
Session S11: Focus Session: Surfaces of Correlated Electron Systems
Sponsoring Units: DMPChair: John Hill, Brookhaven National Laboratory
Room: Colorado Convention Center Korbel 1F
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S11.00001: Are the surfaces of CrO$_{2}$ metallic? A photoelectron spectroscopy study of epitaxial CrO$_{2}$(100) and CrO$_{2}$(110) films C. A. Ventrice, Jr., D. R. Borst, H. Geisler, G. X. Miao, A. Gupta Previous photoelectron spectroscopy studies of CrO$_{2}$ have found either no density of states or a very low density of states at the Fermi level, suggesting that CrO$_{2}$ is a semiconductor or a semimetal. This is in contradiction to calculations that predict that CrO$_{2}$ should be a half-metallic ferromagnet. We present photoelectron spectroscopy measurements of epitaxial CrO$_{2}$(110)/TiO$_{2}$(110) and CrO$_{2}$(100)/TiO$_{2}$(100) grown using a CrO$_{3}$ precursor. In addition, measurements of epitaxial Cr$_{2}$O$_{3}$(0001)/Pt(111) films grown by thermal evaporation of Cr in an oxygen atmosphere are presented as a reference for reduced CrO$_{2}$ films. The measurements of the CrO$_{2}$ surfaces show no emission at the Fermi level after sputtering and annealing the surfaces in oxygen, even though our soft core photoemission data and low energy electron diffraction measurements provide evidence that stoichiometric CrO$_{2}$ is present. The consequence of this is that neither surface of CrO$_{2}$ is metallic. This behaviour could result from a metal to semiconductor transition at the (110) and (100) surfaces. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S11.00002: Surface vs. Bulk Characterizations in Electronic Inhomogeneity of a VO2 thin film. Young Jun Chang, J.S. Yang, T.W. Noh, D.-W. Kim, J.-S. Chung, E. Oh, B. Kahng We have examined the validity of the percolation model for a VO2 thin film using both surface- and bulk-sensitive measurement during the metal-insulator transition (MIT). VO2 is one of the most widely investigated strongly correlated transition metal oxides, and it displays the MIT at around 340K. The metallic surface area fraction obtained by scanning tunneling spectroscopy fails to reproduce conductivity change, whereas the metallic volume fraction extracted by bulk-sensitive techniques confirms the percolative nature of the transport data. This discrepancy suggests that the surface-sensitive techniques require special care in investigating the electronic structures of strongly correlated transition metal oxides which have strong electron-phonon coupling. [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S11.00003: ABSTRACT WITHDRAWN |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S11.00004: Giant Gap Surface Charge Density Waves in NaMo$_6$O$_{17} $ and KMo$_6$O$_{17} $ Feng Wang, S.-K. Mo, J. W. Allen, G.-H. Gweon, J. Marcus, C. Schlenker, H. H\"ochst Quasi-2-dimensional molybdenum bronzes NaMo$_6$O$_{17} $ and KMo$_6$O$_{17} $ have a phase transition into the charge density wave (CDW) state at temperatures (T's) of 80K and 120K respectively. Our recent angle resolved photoemission spectroscopy (ARPES) data confirm in detail our previous findings [1] of nearly identical electronic structures and well nested Fermi surfaces of these two materials. An important new finding in both NaMo$_6$O$_{17} $ and KMo$_6$O$_{17} $ is the opening of giant energy gaps greater than 0.1 eV {\it at T's well above the bulk CDW transitions, even as high as 300K}. The spectra are very dependent on the sample surface and measurement position, and are strongly time dependent. All the evidence indicates formation of a strongly enhanced {\it surface} CDW, in contrast to the interpretation of a recent publication [2] relating these large ARPES gaps to the bulk CDW. We will discuss possible scenarios, e. g. [3], for such surface effects and the implications for measuring the spectral manifestations of the true bulk CDW's. [1] G.-H. Gweon {\it et al.}, Phys. Rev. B {\bf 55}, 13353 (1997). [2] P.-A. Glans {\it et al.}, Phys. Rev. B {\bf 72}, 035115 (2005). [3] S. E. Brown {\it et al.}, Phys. Rev. B {\bf 71}, 224512 (2005). [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S11.00005: Strong electron correlation on the Fe$_3$O$_4$(0 0 1) surfaces Henry Pinto, Simon D. Elliott, Adam Foster, R. M. Nieminen Magnetite Fe$_3$O$_4$ is a fascinating material that still is not well understood and presents challenges for the state-of-the-art computational methods. This transition metal oxide undergoes a first-order metal-insulator transition at $T_V$=120 K. The ferrimagnetic properties of Fe$_3$O$_4$ makes it a promising material for spintronic applications. We use a plane wave density functional theory in the generalized gradient approximation adding a Hubbard-$U$ parameter to describe properly the strongly correlated Fe--3$d$ electrons. Based on previous results \footnote{H. Pinto, S. Elliott, J.Phys.: Condens. Matter \textbf{18}, 10427 (2006)}, we compute the surface structure, magnetic properties and electronic structure of several Fe$_3$O$_4$(0 0 1) surfaces with $(\sqrt{2}\times\sqrt{2})R45^{\circ}$ reconstruction. The simulated scanning tunneling microscopy images of these surfaces are compared and discussed in the light of available experimental data. Finally, we analyze the possible existence of charge ordering on the Fe$_3$O$_4$(0 0 1) surface and the effect on the surface electronic structure with changing the value of the Hubbard-$U$ parameter on the superficial Fe sites. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S11.00006: Electronic structure of the (001) surface of half-metallic manganites Miguel Pruneda, Valeria Ferrari, Peter B Littlewood, Emilio Artacho A good understanding of the physical properties of surfaces and interfaces of in colossal magneto-resistant hole-doped manganese oxides is highly desirable for future applications of these promising materials in magnetoresistive devices for spintronics. We present results for a fully relaxed (001) surface in the optimally doped half-metallic manganites La$_{0.7}$Sr$_{0.3}$MnO$_3$ in its distorted orthorhombic phase, based on density functional calculations with explicit doping. The crystal termination gives rise to a splitting in the degenerate $t_{2g}$ and $e_g$ levels, and a surface state with $d_{z^2}+d_{xy}$ character develops. The calculations for the relaxed structure show that the tilting of MnO$_6$ octahedra is reduced near the surface, and there is a layer buckling, with a Mn off-centering in the top octahedral layer similar to what observed for Ti in bulk BaTiO$_3$, which decreases rapidly inside the material. As a result of this distortions, the surface state shifts to lower energies, although it is still very localized in the first layer. The Mn off-centering favours the occupation of the conduction $d_{z^2}$ states, which become more localized and affects the magnetic properties at the surface. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S11.00007: A FP-LAPW Study of Atomic Chemisorption on the (100) Surface of $\delta $-Pu Raymond Atta-Fynn, Asok Ray Fully relativistic full potential density functional calculations have been performed to investigate atomic carbon, nitrogen, and oxygen chemisorption on the (100) surface of $\delta $-Pu using the all-electron augmented plane waves plus local basis code WIEN2k. The surface was modeled by a three-layer periodic slab with two atoms per surface unit cell. The center adsorption site is found to be the most preferred site with chemisorption energies of 7.964 eV, 7.665 eV, and 8.335 eV for the C, N, and O adatoms, respectively. The corresponding optimized distances of the adatoms from the surface are found to be 0.26 {\AA}, 0.35 {\AA}, and 0.48 {\AA}. The work functions and the net magnet moments respectively increased and decreased in all cases compared with the bare $\delta $-Pu (100) surface. Analysis of partial charges inside the atomic spheres, charge density distributions, and the local density of states have been performed to investigate the nature of the interaction between the surface Pu atoms and the adatoms.$^{\ast }$ $^{\ast }$R. Atta-Fynn and A. K. Ray, Physica B, in press. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:30PM |
S11.00008: Scanning tunneling spectroscopy study of charge ordering, stripes and phase separation in manganese perovskite oxides. Invited Speaker: Colossal magnetoresistance (CMR) in perovskite-based transition metal oxides keeps challenging our understanding. Constant progress in scanning tunneling microscopy investigations is enabling increasingly detailed experimental insight into the different electronic and structural phases nucleating in these complex materials. I shall review the latest findings emerging from experiments on perovskite- and bilayer-manganites [1], which in particular, reveal the importance of lattice degrees of freedom (polarons) and their contribution to the macroscopic transport properties. I shall also discuss the observation of an unexpected hexagonal phase, glimpses of a stripe phase and, finally, address the question of phase separation in these systems. \newline \newline [1] Ch.Renner and H.M.R{\o}nnow, ``Scanning tunneling microscopy and spectroscopy of manganites'' in Scanning Probe Microscopy: Electrical and Electromechanical Phenomena at the Nanoscale, Springer Series, (2006). [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S11.00009: Scanning Tunneling Spectroscopy Investigation of La$_{2-2x}$Sr$_{1+2x}$Mn$_{2}$O$_{7 }$(x=0.32, 0.4) at Low Temperatures Junwei Huang, Jeehoon Kim, Alex de Lozanne, J.-S. Zhou, J. B. Goodenough We have investigated the surface electronic properties of the ferromagnetic Ruddlesden-Popper compounds La$_{2-2x}$Sr$_{1+2x}$Mn$_{2}$O$_{7}$ (x=0.32, 0.4) with our home-made ultra-high vacuum (UHV) and low-temperature scanning tunneling microscope at 20K and 80K. We found that the atomically flat surfaces of both compounds show nano-sized features that may be related to the formation of Mn(4+)-rich and Mn(3+)-rich clusters. The tunneling spectra on those clusters reveal that the local density of states (LDOS) of the Mn(4+)-rich clusters is quite different from those of the Mn(3+)-rich clusters. We also observed a gap that is temperature dependent and spatially inhomogeneous. These unique electronic properties may be explained by the collective polaron model, where the polaron clusters condense below the ferromagnetic transition temperature. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S11.00010: Spectroscopic Imaging Scanning Tunneling Microscopy Studies of Ruthenates M. Wang, Jinho Lee, A. Schmidt, Y. Kohsaka, S.A. Grigera, R.S. Perry, A.P. Mackenzie, J.C. Davis We report atomic resolution spectroscopic imaging studies of Ruthenates, including Sr$_{3}$Ru$_{2}$O$_{7}$ and Ca$_{3}$Ru$_{2}$O$_{7}$. We will discuss the comparison between ARPES and SI-STM studies of these materials. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S11.00011: STM studies of electronic inhomogenieties in $La_{0.35}Pr_{0.275}Ca_{0.375}MnO_{3}$ thin films A. K. Gupta, Udai Raj Singh, S. Chowdhury, R. C. Budhani We report on temperature dependent STM/S measurements of Laser ablated epitaxial thin films ($\simeq$400nm thick) of $La_{0.35}Pr_{0.275}Ca_{0.375}MnO_{3}$ (LPCMO) on $NdGaO_3$ (NGO) substrate. Four-probe resistivity measurement on this film shows a sharp transition near 145K (T$_{MI}$) and a significant hysteresis with temperature between 90K and 160K. The topographic STM images show a clean terraced surface at all temperatures (77-350K) with mono-atomic steps and terrace width of 300-400nm. Some inhomogenieties are observed in the conductance images on a length scale of $\simeq$20nm and more apparent near the terrace steps. Although spatial variations in spectra are seen at all temperatures but with some common features that evolve with temperature. The spectra become gap-like below 210K (i.e. T$_{CO}$) and with cooling this charge-ordering (CO) gap becomes more pronounced with a magnitude of 0.4-0.5eV. This shows an increase in the strength of the CO order parameter and makes us believe that with cooling the CO fraction in the phase-separation scenario is not decreasing with temperature. In this case the large resistivity change at T$_{MI}$ may have to invoke a third phase (other than metallic and CO phases) that becomes metallic with cooling rather than CO fraction melting into metallic phase. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S11.00012: Evidence of Coulomb blockade behavior in finite, one-dimensional quantum well Vincent Meunier, Minghu Pan, Frederic Moreau, Kenneth Park, Ward Plummer We report on a new type of ``quantum box'' that is grown on an insulating TiO$_{2}$(110) surface and is effectively closed by the presence of two charged structures at both ends. The static end charges are responsible for a long-range potential that governs the behavior of the electrons inside the box. As expected from a system with quantum confinement, we observe oscillatory features that can be attributed to standing waves inside the system. The spatial distribution of the charge density fits remarkably well with the solution of the Schrodinger equation, provided that correlation effects are included. However, the astounding result is that they are all observed at room temperature and furthermore unchanged within the range of STM tip potential (about 0.5 V). Because the substrate is insulating and the electrons are well confined inside the structure, we can use the capacitor approach to evaluate the corresponding charging energy. Our theoretical analysis indicates that the energy needed to put an extra electron into the confining structure of 14-16 nm long amounts to about 1.14-1.30 eV in agreement with a simple classical picture of capacitor charging. [Preview Abstract] |
Wednesday, March 7, 2007 5:18PM - 5:30PM |
S11.00013: Surface segregation in La$_{2-2x}$Sr$_{1+2x}$Mn$_{2}$O$_{7}$(001). V.B. Nascimento, R.G. Moore, H. Liu, M.H. Pan, E.W. Plummer, J. Rundgren, D. Mazur, J.W. Freeland, J.F. Mitchell The (001) clean surface of La$_{2-2x}$Sr$_{1+2x}$Mn$_{2}$O$_{7}$ with nominal dopings of 0.3 $<$ x $<$ 0.4 presents a non-magnetic surface layer tentatively explained by the existence of a surface reconstruction. A surface structural investigation of this system was performed using quantitative Low Energy Electron Diffraction (LEED-IV). Results obtained discard the occurrence of a surface reconstruction. However, a strong Sr segregation is observed in these surfaces leading to an effective doping on the surface of x = 0.8 as well as a possible anti-ferromagnetic phase (in analogy with bulk). Sr segregation is believed to be induced by the creation of a surface which promotes a shift in the phase diagram. [Preview Abstract] |
Session S12: Focus Session: III-Mn-V Ferromagnetic Semiconductors
Sponsoring Units: GMAG DMP FIAPChair: Andre Petukhov, South Dakota School of Mines and Technology
Room: Colorado Convention Center Korbel 3C
Wednesday, March 7, 2007 2:30PM - 3:06PM |
S12.00001: Large-Scale Monte Carlo Study of a Realistic Lattice Model for $\rm Ga_{\it 1-x}Mn_{\it x}As$ Invited Speaker: The properties of Mn-doped GaAs are studied at several doping levels and hole compensations, using a real-space Hamiltonian on an fcc lattice that reproduces the valence bands of undoped GaAs. Large-scale Monte Carlo (MC) simulations on a Cray XT3 supercomputer, using up to a thousand nodes, were needed to make this effort possible. Our analysis includes both the spin-orbit interaction and the random distribution of the Mn ions. The hopping amplitudes are functions of the GaAs Luttinger parameters. At the coupling exchange $J$$\sim$1.2~eV deduced from photoemission experiments, the MC Curie temperature and the shape of the magnetization curves are in good agreement with experimental results for annealed samples. The system is found to be qualitatively closer to a hole-fluid regime than to localized carriers. The methodology described here introduces a quantitative tool to analyze a variety of diluted magnetic semiconductors, valid in broad regimes of parameter space. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S12.00002: Evidence of impurity band conduction in GaMnAs Leonid Rokhinson, Yuli Lyanda-Geller, Z. Ge, S. Shen, X. Liu, M. Dobrowolska, Jacek K. Furdyna We investigate low-temperature conduction in GaMnAs, and demonstrate that the observed properties are inconsistent with the valence band transport, but consistent with the metallic transport of holes within the impurity band. We observe a peak in magnetoresistance at very small magnetic fields $B<20$ mT, which is independent of orientation of $B$ with respect to the ferromagnetic easy axis and to the direction of the electric current. The peak appears below 3.4 K and increases at lower temperatures. We attribute this effect to the anomalous negative magnetoresistance of the Aharonov-Bohm (AB) origin. The shape and magnitude of the peak is consistent with weak localization (WL) in a three dimensional (3D) conductor with vanishing spin-orbit interaction. Holes in the valence band, on the contrary, experience strong spin-orbit interaction, which would suppress weak localization in a ferromagnet. In addition to WL we observe a field-independent increase of resistance at $T<5$ K, a signature of Altshuler-Aronov (AA) electron-electron interaction effect. Temperature dependent AA contribution to resistivity is almost an order of magnitude bigger than the magnitude of magnetoresistance peak, as it should be in conventional 3D disordered conductors. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S12.00003: Conclusive Evidence for Impurity Band Conduction in Metallic Ga$_{1-x}$Mn$_{x}$As K.S. Burch, D.B. Shrekenhamer, E.J. Singley, J. Stephens, B.L. Sheu, R.K. Kawakami, D.D. Awschalom, N. Samarth, P. Schiffer, D.N. Basov The electronic structure and carrier dynamics of the dilute magnetic semiconductor Ga$_{1-x}$Mn$_{x}$As are studied via optical spectroscopy. We focus on the changes induced by annealing the samples, which is known to result in a large increase in the critical temperature. This study provides conclusive evidence that the Fermi energy ($E_{F}$) lies in a metallic impurity band. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S12.00004: Insulating ferromagnetic (Ga,Mn)As with low Mn-doping B.L. Sheu, R.C. Myers, N. Samarth, D.D. Awschalom, P. Schiffer We probe the onset of ferromagnetism in (Ga,Mn)As near the vicinity of the metal-insulator transition by studying systematic series of low Mn-doped ($<$ 2.0 atomic {\%}) GaAs epilayers [growth described in R.C. Myers \textit{et al.} Phys. Rev. B \textbf{74}, 155203 (2006)]. We have studied the temperature dependent magnetization and electronic transport properties of these insulating samples. We fit the data using the variable-range-hopping conduction model and calculate the hopping energy from the logarithm of the resistivity versus T$^{-1/4}$ slope fit. Our results indicate that a cutoff in ferromagnetism is strongly correlated with critical values of the electric conductivity and the hopping energy. This work is supported by grants from NSF, ONR and DARPA. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S12.00005: Imaging of single magnetic dopants in III-V semiconductor hosts Paul Koenraad, Cem Celebi, Andrei Silov, Andrei Yakunin, Jian-Ming Tang, Michael Flatte, Maria Kaminska We present room-temperature cross-sectional scanning tunneling microscopy (STM) topographic measurements of the acceptor state wave function for Mn dopants in InP and compare with measurements for the nonmagnetic dopants Zn and Cd as well as with previous results for Mn in GaAs[1]. We find a strongly anisotropic ``bow-tie'' shape for the Mn acceptor state wave function in InP, similar to Mn in GaAs, which has a binding energy of 210 meV (compared to 113 meV for Mn in GaAs). The shape for Mn in InP is more symmetric with respect to the 001 plane than Mn in GaAs, which agrees with a general trend for the magnetic and nonmagnetic acceptor state symmetry as a function of acceptor binding energy. We present a new theoretical model based on the surface strain of GaAs (110) that explains why the 001-plane asymmetry of acceptor states seen in STM measurements is much larger than expected from bulk calculations. [1] A. M. Yakunin, et al., Phys. Rev. Lett. 92, 216806 (2004). [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S12.00006: Atom-by-Atom substitution of Mn in n-type GaAs and Electron mediated Mn-Mn interaction in GaAs Anthony Richardella, Pedram Roushan, Dale Kitchen, Ali Yazdani Using a novel atomic scale manipulation technique with a cryogenic scanning tunneling microscope (STM), we have recently demonstrated the ability to incorporate single Mn atoms in p-GaAs substrates and have used this technique to visualize hole-mediated interaction between Mn acceptors in p-type GaAs. [1] We will report on the extension of these experiments to n-type substrates, for which we have also succeeded in incorporation of single Mn acceptors and probed Mn-induced in-gap states using spatially resolved STM spectroscopy. In contrast to previous work, experiments on n-type substrates allow us to explore spin-spin interaction between Mn mediated by electrons in the valance band. Imaging and spectroscopic mapping show Friedel oscillation in the vicinity of individual Mn dopants. We will report these results and more recent experiments on the role of such oscillation on the interaction between Mn-dopants. [1] D. Kitchen, A. Richardella, J-M. Tang, M. Flatte, A. Yazdani, Nature 442, 436--439 (2006). [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S12.00007: Anisotropic spin-spin interactions of Mn-Mn pairs in III-V semiconductors Jian-Ming Tang, Michael E. Flatt\'e We calculate the energy splitting of acceptor states of Mn pairs in GaAs [1]. The calculated splittings show crystalline anisotropy that is in good agreement with recent scanning tunneling measurements [2]. The splitting is large when the pair axis is along the $\langle 110\rangle$ axis and smaller when along the $\langle 100\rangle$ axis. This anisotropy can be understood from the overlap of two Mn acceptor wavefunctions that have the approximate cubic symmetry [3]. Within a double exchange model, the splitting can be linked to the energy difference between parallel and antiparallel Mn spins. Our results show that the parallel configurations always have the lower energy. This exchange coupling energy follows the same crystalline anisotropy for the splitting because the anisotropy is predominately determined by the lowest hole state. The rotational symmetry of the total spin of Mn pairs is weakly broken by the spin-orbit interaction. [1] J.-M. Tang and M. E. Flatt\'e, Phys. Rev. Lett. 92, 047201 (2004) [2] D. Kitchen, et al., Nature 442, 436 (2006) [3] A. M. Yakunin, et al., Phys. Rev. Lett. 95, 256402 (2005) [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S12.00008: X-ray standing wave imaging of Mn in GaAs Jorg Zegenhagen, Tien-Lin Lee, Isabelle Joumard, Martin Brandt, Wladimir Schoch GaMnAs is a prototype of a dilute magnetic semiconductors with a Curie temperature T$_{c}$ of up to 170 K at a Mn concentration of 5{\%}. Substituting the Ga, the Mn acts as an acceptor with a local spin moment of 5/2 and ferromagnetic ordering is mediated by the itinerant holes. However, depending on the growth conditions and post-growth treatments, small fractions of the Mn may occupy magnetically inactive interstitial sites, act as a donor, compensating the hole doping, and thus decreasing T$_{c}$. Determining the site distribution of the Mn is therefore important in order to achieve an optimal T$_{c}$. We used x-ray standing waves generated by substrate (hkl) Bragg reflections to locate the Mn in the GaAs lattice for three differently treated samples, each with 4{\%} Mn in a 4 nm thick epilayer. For 22 reflections, the amplitude as well as the phase of the (hkl) Fourier component of the Mn distribution were determined by recording the Mn K fluorescence during angular scans of the sample traversing the GaAs(hkl) Bragg peaks. Thus a real-space image of Mn within the GaAs unit cell can be reconstructed via direct Fourier expansion. The majority of the Mn is substituting for Ga, but refinement shows that up to 10{\%} of the Mn occupies As interstitial sites. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S12.00009: Near field infrared spectroscopy of the ferromagnetic semiconductor Ga$_{1-x}$Mn$_x$As at the nanoscale. G.O. Andreev, M. Brehm, F. Keilmann, M.M. Qazilbash, T. Driscoll, K.S. Burch, J. Stephens, D.D. Awschalom, D.N. Basov We report on the nanoscale infrared response of a prototypical ferromagnetic semiconductor Ga$_{1-x}$Mn$_x$As at Mn doping fractions in the range of x=1.8-7.75 {\%}. These studies have been carried out using an apertureless scattering Scanning Near field Infrared Microscope (s-SNIM) with a pseudoheterodyne detection scheme operating at the wavelength near 10 $\mu $m.. For samples with doping fractions below 7{\%} we observe a contrast-free near field infrared signal, suggesting a homogenous electronic state on the length scale down to 10-20 nm determined by the spatial resolution of s-SNIM.. At doping fractions of 7.66{\%} and 7.74{\%} we find significant contrast in the form of surface clusters ranging in diameter from 15 to 30nm in both topographical and near field images. These clusters occupy approximately 15{\%} of the total image area. We will discuss possible origins of the observed contrast within the framework of the effective polarizability dipolar model of the tip-sample interaction. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S12.00010: Interlayer Magnetic Coupling in AlBeGaAs/GaMnAs/GaAs/GaMnAs Heterotructures, as Probed with Polarized Neutron Reflectometry Brian Kirby, Mike Fitzsimmons, Julie Borchers, Xinyu Liu, Zhiguo Ge, Jacek Furdyna Understanding interlayer exchange coupling between magnetic semiconductor layers could prove important for device applications. We discuss a series of AlBeGaAs/GaMnAs/GaAs/GaMnAs heterostructures, fabricated to be identical except for varying GaAs spacer layer thickness. Via hole doping, the AlBeGaAs layer alters the coercivity (Hc) and Curie temperature (Tc) for an adjacent GaMnAs layer. Therefore, in the absence of interlayer coupling, the GaMnAs layers in our heterostructures will not have equal Hc or Tc. Using polarized neutron reflectometry (PNR), we have measured the \textit{depth-dependent} magnetizations for this series of samples, as functions of applied field and temperature. Our results show the effects of interlayer spacer thickness and temperature on coupling between GaMnAs layers. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S12.00011: The role of Mn acceptors in determining the Zeeman splitting of the band edges in GaMnAs. R. Chakarvorty, Y. -Y. Zhou, Y. -J. Cho, X. Liu, J. K. Furdyna, M. Dobrowolska It has been widely accepted that ferromagnetism in Ga$_{1-x}$Mn$_{x}$As is carrier-induced, and much work has been devoted to the mechanism of coupling between the Mn ions. By comparison considerably less attention has been given to the interaction of Mn ions with electronic bands, and to the Zeeman splitting of the band edges. To address the latter issue, we use magnetic circular dichroism (MCD) to investigate how different Mn acceptor states (neutral A$^{0}$ vs. negatively charged A$^{-})$ affect the magneto-optical properties of Ga$_{1-x}$Mn$_{x}$As. Several series of Ga$_{1-x}$Mn$_{x}$As layers were fabricated for this purpose by low-temperature molecular beam epitaxy, using different Mn concentrations (0.001 $\le $ x $\le $ 0.01), As$_{2}$ fluxes, growth temperatures and co-dopings. Our MCD data show that in highly compensated samples (i.e., those rich in A$^{-}$ centers) the Zeeman splitting of the band edges disappears, thus indicating that the exchange between band carriers and Mn spins takes place entirely via the A$^{0}$ centers. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S12.00012: Ferromagnetism in InMnAsP epitaxial films Nidhi Parashar, Philip Chiu, Bruce Wessels The magnetic properties of epitaxial In$_{1-x}$Mn$_{x}$As$_{1-y}$P $_{y}$
deposited by metal-organic vapor phase epitaxy were investigated in order to
study matrix effects. Alloy concentrations of 0.01$ |
Wednesday, March 7, 2007 5:18PM - 5:30PM |
S12.00013: Magnetic Properties of Ga$_{1-x}$Mn$_{x}$ P-based Quaternary Ferromagnetic Semiconductors P.R. Stone, M.A. Scarpulla, I.D. Sharp, E.E. Haller, O.D. Dubon, E. Arenholz, J.W. Beeman, K.M. Yu Ga$_{1-x}$Mn$_{x}$P is a ferromagnetic semiconductor in which exchange is mediated by carriers localized in a Mn-derived impurity band [Scarpulla \textit{et al.}, Phys. Rev. Lett. \textbf{95} 207204 (2005)]. Despite its non-metallic nature even for x$\sim $0.042, Ga$_{1-x}$Mn$_{x}$P displays many properties that are not significantly different from those of the canonical system Ga$_{1-x}$Mn$_{x}$As including an approximately linear increase of the Curie temperature (T$_{C})$ with x and a strong spin polarization of the density of states at the Fermi energy. Here we report the effect of partial anion replacement by either S or As on the magnetic properties of Ga$_{1-x}$Mn$_{x}$P-based thin films. In Ga$_{1-x}$Mn$_{x}$P$_{1-y}$S$_{y}$ both T$_{C}$ and X-ray magnetic circular dichroism decrease monotonically with y due to compensation of ferromagnetism-mediated holes by electrons introduced by S donors. Addition of sulfur significantly enhances the uniaxial magnetic anisotropy between in-plane $<$110$>$-type directions with increasingly harder [110] axes as y increases. Finally, we explore Ga$_{1-x}$Mn$_{x}$As$_{1-y}$P$_{y}$ for which it has been predicted [Masek \textit{et al}. cond-mat/0609158v1] that T$_{C}$ will increase as y increases, thus providing a route to higher T$_{C}$ based on the well-studied Ga$_{1-x}$Mn$_{x}$As system. [Preview Abstract] |
Session S13: Focus Session: 4d & 5d Transition-Metal Oxides
Sponsoring Units: DMP GMAGChair: Warren Pickett, University of California, Davis
Room: Colorado Convention Center Korbel 4C
Wednesday, March 7, 2007 2:30PM - 3:06PM |
S13.00001: Superconductivity and Unusual Lattice Dynamics in the $\beta $-Pyrochlore Oxides Invited Speaker: Recently two families of pyrochlore oxide superconductors were found: one is $\alpha $ -pyrochlore oxide Cd$_{2}$Re$_{2}$O$_{7}$ with $T_{c}$ = 1.0 K$^{1}$ and the other is $\beta $ -pyrochlore oxides AOs$_{2}$O$_{6}$, where A = Cs, Rb and K, with $T_{c}$ = 3.3 K, 6.3 K and 9.6 K, respectively.$^{2}$ The superconductivity of the former compound is of weak-coupling BCS type, while, in the latter compouds, the superconductivity changes from conventional weak-coupling to extremely strong-coupling from Cs to K. In particular, KOs$_{2}$O$_{6}$ with the highest $T_{c}$ exhibits various unconventional features, which may be ascribed to anomalous electron-phonon couplings arising from the heavy rattling of the K ions.$^{3}$ Possibly related to this, a first-order phase transition at $T_{p}$ = 7.6 K below $T_{c}$ has been found only for KOs$_{2}$O$_{6}$. I will discuss on what is the rattling and how it affects the surrounding conduction electrons in the $\beta $-pyrochlores. \newline \newline $^{1}$M. Hanawa\textit{ et al.}, Phys. Rev. Lett. \textbf{87}, 187001 (2001). \newline $^{2}$S. Yonezawa, Y. Muraoka, Y. Matsushita, and Z. Hiroi, J. Phys.: Condens. Matter \textbf{16}, L9 (2004). \newline $^{3}$Z. Hiroi, S. Yonezawa, Y. Nagao, and J. Yamaura, submitted to PRB. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S13.00002: Structural Studies of Technetium and Rhenium Oxides Efrain E. Rodriguez , Frederic Poineau, Anna Llobet, Alfred P. Sattelberger, Ken Czerwinski, Anthony K. Cheetham The oxide chemistry of technetium$-99$ (t$1/2 = 2.12\times~10^{5}$ y) has not been investigated to any significant extent and presents an opportunity to explore new structural, electronic and magnetic regimes. The chemistry of rhenium broadly resembles that of technetium, and although ReO$_{3}$ is a widely studied material with a prototypic structure, it exhibits an unusual thermal expansion evolution that we have studied using neutron powder diffraction. The results of the thermal expansion study will be presented. The structural study of TcO$_{2}$ and of a new ternary oxide containing technetium and bismuth Bi$_{2}$Tc$_{2}$O$_{7}$ will be also presented and discussed. Some new reactions of TcO$_{2}$ and other oxides of heavy elements will be described. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S13.00003: Manifestation of on-site Coulomb and spin-orbit interactions in the ground state electronic structure of Sr$_2$IrO$_4$ Hosub Jin, Jaejun Yu In contrast to the superconducting and metallic ground states in Sr$_2$RuO$_4$ and Sr$_2$RhO$_4$, the ground state of Sr$_2 $IrO$_4$ has been reported to be a magnetic insulator. Such an insulating character of Sr$_2$IrO$_4$ is rather surprising and unexpected when the extended nature of Ir 5$d$ state is considered. To investigate the electronic structure of Sr$_2 $IrO$_4$, we performed LDA+U calculations taking account of spin-orbit interactions, where both on-site Coulomb interactions and spin-orbit couplings in the description of Ir 5 $d$ states are expected to play a significant role. From the results, it is shown that neither the on-site U nor the spin- orbit term only can explain the insulating feature of Sr$_2 $IrO$_4$. An interesting interplay between the two competing interactions is found to determine the spin and orbital configuration, leading to a novel insulating ground state. To understand the nature of the ground state, we suggest a minimal model for the $t_{2g}$ manifold based on the tight binding Hamiltonian. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S13.00004: Spin-Orbit Coupling Assisted Mott Insulator Sr$_{2}$IrO$_{4}$ S. J. Moon, J. S. Lee, M. W. Kim, T. W. Noh, H. Jin, B. J. Kim, J. Yu, S.-J. Oh, J.-H. Park, C. Kim, G. Cao We have systematically investigated the effect of spin-orbit coupling to the optical conductivity spectra \textit{$\sigma $}(\textit{$\omega $}) of Sr$_{2}$IrO$_{4}$. Both Sr$_{2}$RhO$_{4}$ and Sr$_{2}$IrO$_{4}$ have five $d$ electrons and similar crystal structures. However, Sr$_{2}$RhO$_{4}$ and Sr$_{2}$IrO$_{4}$ are metallic and insulating, respectively. The insulating ground state of Sr$_{2}$IrO$_{4}$ is rather surprising, since it has 5$d$ electrons, which are commonly thought to have extended orbitals. We observed a sharp absorption at about 0.5 eV in \textit{$\sigma $}(\textit{$\omega $}). This spectral feature cannot be explained in terms of orbital degeneracy and/or density wave. Note that Ir has 5$d$ electrons, so that its spin-orbit coupling should be larger than that of 4$d$ Rh ions. With the aid of the first principles calculation based on the LDA+$U$ scheme, we took into account of the effect of spin-orbit coupling. Our results clearly demonstrate that spin-orbit coupling plays a crucial role to the Mott insulating ground state of Sr$_{2}$IrO$_{4}$. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S13.00005: Elastic properties of the Mott transition system Ca$_{2-x}$Sr$_{x}$RuO$_{4}$. Veerle Keppens, Yanbing Luan, Sriparna Bhattacharya, Rongying Jin, David Mandrus Layered perovskite ruthenates have attracted considerable interest since the discovery of superconductivity in Sr$_{2}$RuO$_{4}$, which remains the only copper-free superconductor isostructural to the cuprates. Among the doped varieties of Sr2RuO$_{4}$, the Ca$_{2-x}$Sr$_{x}$RuO$_{4}$ series is heavily studied, as it connects the Mott insulator Ca$_{2}$RuO$_{4}$ with the superconductor Sr$_{2}$RuO$_{4}$ and exhibits a variety of physical properties. The current work focuses on the elastic properties of Ca$_{2-x}$Sr$_{x}$RuO$_{4}$. Resonant Ultrasound Spectroscopy (RUS) has been used to measure the resonant frequencies of the samples, which are directly related to the elastic moduli, and results are presented for single crystal samples with x = 0.5, 1.9 and 2.0. The temperature-dependence of the frequencies is found to be quite unusual and reflects the rich phase diagram that sets these materials apart. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S13.00006: Strong orbital-dependent $d$-band hybridization and Fermi surface reconstruction in metallic Ca$_{2-x}$Sr$_{x}$RuO$_4$ Eunjung Ko, B. J. Kim, C. Kim, Hyoung Joon Choi The layered ruthenate Ca$_{2-x}$Sr$_x$RuO$_4$ displays diverse ground states ranging from a superconductor ($x$$=$2) to a Mott insulator ($x$$=$0), accompanied by structural distortions.We investigate the effects of RuO$_6$ rotation on Ru 4$d$ band structures in the metallic phase (0.5$<$$x$$<$2) by first-principles electronic structure calculations. Our study reveals that the symmetry lowering due to RuO$_6$ rotation induces a strong orbital-dependent t$_ {2g}$- e$_g$ hybridization. As a result, only the d$_{xy}$ band among three t$_{2g} $ bands is severely affected and thereby the Fermi surface is reconstructed, forming a new electron-like d$_{xy}$ Fermi surface near $\Gamma$ and nested sections near $x$$=$0.5. These findings should provide a new insight on the electron correlation in the material. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S13.00007: Surface Structural Phases of Ca$_{2-x}$Sr$_{x}$RuO$_{4}$ R. G. Moore, V. B. Nascimento, Jiandi Zhang, Ismail NLS, R. Jin, D. Mandrus, E. W. Plummer Surface structural phases of Ca$_{2-x}$Sr$_{x}$RuO$_{4}$ (CSRO) are investigated using Low Energy Electron Diffraction (LEED-IV). The surface structure and phases are compared to the bulk in this layered perovskite material. Bulk phases are generated from rotational and tilt distortions of the RuO$_{6}$ octahedral. While the surface emphasizes the system's instability against the rotational distortion, the tilt distortion is stabilized. Surface relaxation interferes with the RuO$_{6}$ tilt resulting in a lower metal-to-insulator transition (MIT) temperature (x = 0.1) and a lower tetragonal-to-orthorhombic phase transition temperature (0.2 $<$ x $<$ 0.5). In addition, structural transitions accompanying the bulk MIT are nonexistent on the surface for x = 0.1 and superstructure reflections are evident for x = 0.5 indicating a shift in the quantum critical point at the surface. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S13.00008: Dopant-Induced Nanoscale Electronic Inhomogeneity in Ca2-xSrxRuO4 Jiandi Zhang, Rob Moore, Shancai Wang, Hong Ding, Rongying Jin, David Mandrus, Ward Plummer Ca$_{2-x}$Sr$_{x}$RuO$_{4}$ single crystals with 0.1 $\le \quad x \quad \le $ 2.0 have been studied systematically using scanning tunneling microscopy (STM) and spectroscopy (STS), low-energy electron diffraction (LEED), and angle resolved photoelectron spectroscopy (ARPES). In contrast to the well-ordered lattice structure, the local density of states (LDOS) at the surface clearly shows a strong doping dependent nanoscale electronic inhomogeneity, regardless of the fact of \textit{isovalent }substitution. Remarkably, the surface electronic roughness measured by STM and the inverse spectral weight of quasiparticle (QP) states determined by ARPES are found to vary with $x$ in the same manner as the bulk in-plane residual resistivity, following the Nordheim rule. For the first time, the surface measurements--especially those with STM--are shown to be in good agreement with the bulk transport results, all clearly indicating a doping induced electronic disorder in the system. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S13.00009: Antiferromagnetic metallic state: A transport and thermodynamic study of Ca$_{3}$(Ru$_{1-x}$Cr$_{x}$)$_{2}$O$_{7}$* V. Durairaj, S. Chikara, G. Cao, P. Schlottmann Among the variety of exciting physical properties, a signature feature of the bilayered Ca$_{3}$Ru$_{2}$O$_{7}$ is the antiferromagnetic metallic (AFM) state that lies between a Neel temperature, T$_{N}$=56 K and a Mott-like transition (MIT), T$_{MI}$=48 K. The results of our recent thermodynamic and transport study of single crystal Ca$_{3}$(Ru$_{1-x}$Cr$_{x})_{2}$O$_{7}$ (0$\le $x$\le $0.20) reveal that the temperature regime for the AFM state is significantly broadened with T$_{MI }$and T$_{N}$ being pushed to lower and higher temperatures, respectively, as Cr doping (x) increases. In addition, the magnetic easy axis for magnetization moves gradually away from \textbf{\textit{a}}-axis to \textbf{\textit{b}}-axis as x increases and at x=0.20, the magnetic anisotropy in the basal plane diminishes. This reduced spin polarization along the easy axis is promptly reflected in the less pronounced negative magnetoresistance as x increases. Furthermore, the DC current--voltage characteristics show the S-shaped negative differential resistivity for x$\le $0.17. As seen in the pure compound, observed non-ohmic behavior is restricted to the AF nonmetallic region. All results are presented along with comparisons drawn from related systems such as perovskite CaRu$_{1-x}$Cr$_{x}$O$_{3}$ where highly anisotropic magnetism is induced by Cr substitution. * This work was supported by NSF grants DMR-0240813 and DMR-0552267. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S13.00010: Effects of Doping on the Field-dependent Phases of Ca$_{3}$Ru$_{2}$O$_{7}$ J. F. Karpus, S. L. Cooper, G. CAO The double-layer ruthenate Ca$_{3}$Ru$_{2}$O$_{7}$ has been shown to possess a rich magnetic-field dependence for fields applied in the a-b plane. In its ground state, Ca$_{3}$Ru$_{2}$O$_{7}$ is an antiferromagnetic insulator, turning metallic above T$_{MI}$ = 48 K and paramagnetic above T$_{N}$ = 56 K. Light doping with Sr (r = 1.18 \r{A} vs. r = 1.00 \r{A} for Ca) causes a reduction in the anisotropy field due to the change in lattice parameter along the c-axis, thereby giving us a bandwidth control, while doping with trivalent La (r = 1.03 \r{A}) not only alters the bandwidth but also adds an extra electron for filling control. Using Raman scattering we map out the orbital, magnetic, and conducting phases by studying the field- and temperature-dependence of the magnetic and vibrational spectra. In this talk we describe the role Sr- and La- doping has on the field dependent phases of (Ca,Sr,La)$_{3}$Ru$_{2}$O$_{7}$. Work supported by NSF DMR02-44502, NSF DMR02-40813, and DOE DEFG02-91ER45439. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S13.00011: Measurement of the Mott insulating gap in Ca$_{3}$Ru$_{2}$O$_{7 }$by tunneling spectroscopy Anthony Bautista, V. Durairaj, S. Chikara, G. Cao, K.-W. Ng The bilayered Ca$_{3}$Ru$_{2}$O$_{7}$ adopts an orthorhombic structure with the tilting and rotation of RuO6 octahdera in the basal plane. It is thus highly anisotropic magnetically and electronically. The extensive d-electron orbitals generate strong coupling between spin-orbit and lattice, resulting in an exotic ground state and lead to interesting properties at low temperatures. For instance, it undergoes a transition from a paramagnetic metallic state to an antiferromagnetic metallic state as the temperature is lowered to 56K, and subsequently another transition, a Mott transition, at a lower temperature of 48K. We have prepared Ca$_{3}$Ru$_{2}$O$_{7}$/Al$_{2}$O$_{3}$/Ag tunnel junctions to measure the density of states of Ca$_{3}$Ru$_{2}$O$_{7}$ at low temperatures. The Mott insulating gap is determined to be $\sim $0.07eV when it first opens up at 48K, but the gap continues to grow with decreasing temperature. In some junctions, the density of states displays unusual activities in the temperature range of the antiferromagnetic metallic state, between 48K and 56K. Results of this study, in particular the temperature dependence of the gap structure in the density of states will be presented and discussed. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S13.00012: Quantum criticality and coexistence of spontaneous ferromagnetism and field- induced metamagnetism in triple-layered Sr$_{4}$Ru$_{3}$O$_{10}$ Shalinee Chikara, Vinobalan Durairaj, Gang Cao, Joseph W. Brill, Pedro Schlottmann Results of a thermodynamic and transport study of Sr$_{4}$Ru$_{3}$O$_{10}$ as a function of temperature and magnetic field are presented. The central results of this work include growing specific heat C with increasing field B, divergent magnetic contribution to C at low temperatures, an abrupt jump and a peak in C/T at B=2.90 T and =7 T for B$\vert \vert $ab-plane and B$\vert \vert $c-axis, respectively, and corresponding changes in the power law of resistivity. All results provide not only strong evidence for metamagnetic quantum criticality but also quantum fluctuations in a \textit{spontaneously} ferromagnetic state. The novelty of this work lies in the fact that the quantum criticality occurs in a system that shows both intralayer metamagnetism and interlayer \textit{spontaneous} ferromagnetism, a feature characteristically different from all other relevant systems involving quantum criticality. [Preview Abstract] |
Wednesday, March 7, 2007 5:18PM - 5:30PM |
S13.00013: Evidence of strong disorder in both the ferromagnetic and antoferromagnetic phases of SrRu$_{1-x}$Mn$_x$O$_3$ using the M\"{o}ssbauer Effect Michael DeMarco, B. Graves, G. Harmon, N. Miller, D. Coffey, B. Dabrowski, S. Kolesnik, M. Maxwell, S. Toorongian, M. Haka We investigate the magnetism and disorder in powder samples of SrRu$_{1-x}$Mn$_x$O$_3$ and find significant changes in the M\"{o}ssbauer spectra even at very low values of $x$. At $x=0.1$, although the width of the spectrum is consistent with a hyperfine field $\simeq 32 T$, which is similar to that of SrRuO$_3$(33T), a single site fit fails, suggesting that there is a range of RuO$_6$ octahedra distortions. This sensitivity to doping is also seen in the spectrum of CaRu$_{0.8}$Cr$_{0.2}$O$_3$ where there is a $\sim$30T wide distribution of hyperfine fields. At $x=0.9$ the hyperfine field is due to antiferromagnetic order and is much larger, $50T$, than at $x=0.9$. The charge state of the Ru atom has also changed from $+4$ to close to $+5$. Again the spectrum is not that of a single Ru site in spite of the low density of Ru atoms in the sample. [Preview Abstract] |
Session S14: Focus Session: Spin Transfer Torque
Sponsoring Units: GMAG DMP FIAPChair: Tom Silva, National Institute of Standards and Technology, Boulder
Room: Colorado Convention Center Korbel 4D
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S14.00001: Spin Transfer Switching and Magnetization Dynamics in Py/Cu/Py Nanopillar Spin-Valves with Sidewall Oxide Passivation and Nonuniform Current Injection Ozhan Ozatay, Kee Wee Tan, Praveen Gowtham, Patrick M. Braganca, Eric Michael Ryan, Gregory D. Fuchs, John C. Read, Andre K. Mkhoyan, Malcolm G. Thomas, Kiran V. Thadani, Jack C. Sankey, John Silcox, Daniel C. Ralph, Robert A. Buhrman The manipulation of magnetization, both to drive precessional dynamics and trigger magnetization reversal in nanomagnets by transferring spin angular momentum from a spin-polarized current, presents opportunities for better scalability in nanoscale magnetic memory devices and microwave oscillators. Some of the major practical concerns include reducing the current level needed to write magnetic bits in an error-free fashion at high operating speeds in memory devices as well as exciting highly coherent dynamic modes for nanoscale microwave oscillator applications. In this work we report on the detrimental effects of the adventitious antiferromagnetic oxides at the perimeter of Py/Cu/Py nanomagnets such as an anomalous increase in magnetic damping at low temperatures and stochastic fluctuations in switching fields. We find that in addition to sidewall oxide passivation, the concentrated spin torque from nonuniform injection also reduces the sidewall effects leading to a more efficient spin transfer switching mechanism as well as microwave dynamics. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S14.00002: Current-Induced Magnetization Switching (CIMS) for `Ballistic' and `Diffusive' Transport Through the Non-Magnetic (N) metal in Permalloy/N/Permalloy Nanopillars Nikoleta Theodoropoulou, Amit Sharma, Mustafa AlHaj-Darwish, William Pratt Jr., Jack Bass . Adding 5{\%} Ge to Cu decreases the mean-free-path, $\lambda $, at 4.2K from $\sim $ 130 nm to $\sim $ 3.8 nm, while still leaving the spin-diffusion length $\ge $ 40 nm. Thus, comparing the CIMS switching currents at 4.2K for sputtered Py/N/Py with layer thickness t$_{N}$ = 10nm for N = Cu or Cu(5{\%}Ge), allows testing of the importance of `quasi-ballistic'-- (t$_{Cu}$/$\lambda _{Cu}) \quad \sim $ 0.08, versus `quasi-diffusive'---(t$_{CuGe}$/$\lambda _{CuGe}) \quad \sim $ 2.6, transport, with at most minor correction for spin-flipping in the N-metals. At 4.2K we find a ratio of switching currents, $\Delta $I$_{s}$(CuGe)/$\Delta $I$_{s}$(Cu) = 1.3 $\pm $ 0.2, where $\Delta $I$_{s}$ is the sum of the magnitudes of the critical current for switching from parallel to anti-parallel magnetic order and vice-versa. We will compare this ratio with values calculated using different models. [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S14.00003: Temperature dependence of current induced magnetization switching in spin-valves with a ferrimagnetic CoGd free layer Li Gao, Xin Jiang, Jonathan Sun, Stuart Parkin Current induced magnetization switching (CIMS) has stimulated great interest recently due to its potential for applications, such as magnetic random access memories. Here, we report for the first time, a CIMS effect in spin-valves with a \textit{ferrimagnetic} CoGd free layer. The temperature dependence of the CIMS effect in CoGd-Cu-CoFe spin-valves is explored. At temperatures well above and well below the magnetization compensation temperature ($T_{MC})$ of CoGd, a current flowing from the free layer to the CoFe fixed layer aligns the moments of the two layers parallel, and a current flowing in the opposite direction aligns them antiparallel. However, for intermediate temperatures just above $T_{MC}$, the current-induced alignment of the moments is reversed. We attribute this to the different compensation temperatures of the net magnetization and angular momentum of CoGd. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:42PM |
S14.00004: Spin-torque-induced reversal in nanopillars containing perpendicularly magnetized layers. Invited Speaker: Devices where at least one of the magnetic elements has the anisotropy normal to the film surface are theoretically predicted to increase the efficiency and/or the speed of spin-torque switching. Devices where both the layers have the magnetization normal to the surface increase the efficiency of reversal while devices that combine perpendicular and in-plane magnetized layers are predicted to increase the speed of switching. In this talk we describe recent experimental demonstrations of current-induced magnetic reversal of magnetic elements with perpendicular anisotropy and high coercive fields [1]. The best results are observed for Co/Ni multilayers, which exhibit higher giant magnetoresistance values and spin-torque efficiencies than Co/Pt multilayers. The sample structures are nanopillars with a Co/Pt/Co/Ni composite reference magnetic element and a Co/Ni free layer that responds to the current. The reference layers were designed to have significantly higher anisotropy and coercive allowing a complete current-field phase diagram of the free layer reversal to be explored. The results are compared to micromagnetic modelling that, depending on the bias current and applied field, details regions of irreversible magnetic switching, coherent and incoherent spin waves, or static non-uniform magnetization states. Whereas only the two uniform magnetization states are available under the action of a magnetic field, we observed current induced Bloch domain walls in pillars as small as 100x50 nm2 [2]. This domain wall state can be further controlled by current to restore the uniform states. This ability to manipulate high-anisotropy magnetic elements could prove enabling for a range of spintronic applications. This research is done in collaboration with S. Mangin, D. Ravelsona, Y. Lemaho, Y. Henry, J. Katine, M. Carey, and B. Terris. \newline \newline [1] S. Mangin et al., Nature Materials \textbf{5}, 210 (2006). \newline [2] D. Ravelosona et al., Phys. Rev. Lett. \textbf{96}, 186604 (2006). [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S14.00005: Ferromagnetic resonance studies of nanopillars with Co/Ni multilayer free layers Wenyu Chen, J-M. L. Beaujour, G. de Loubens, Andrew D. Kent, M. J. Rooks, N. Ruiz, Jonathan Z. Sun Recently it has become possible to study ferromagnetic resonance (FMR) of magnetic layers in nanopillar junctions using the spin-transfer interaction [1,2]. This enables powerful new quantitative studies of the layer magnetic anisotropy and damping in confined structures. Here we report studies of Co/Ni multilayer free layers with variable easy plane anisotropy. Experiments were conducted on $\vert \vert $[t nm Co 2t nm Ni] x 1.2/t$\vert $ 10 nm Cu$\vert $ 12 nm Co$\vert \vert $ layer structures patterned to $\sim $50 nm lateral dimensions using a nanostencil process, with t=0.1, 0.2, 0.3 and 0.4. Varying the Co thickness (t) enables systematic variation of the Co/Ni easy-plane anisotropy, while the total magnetic moment and thickness of the free layer is kept constant. Field swept FMR measurements were conducted using a microwave signal generator (1 to 20 GHz) with a magnetic field applied perpendicular to the surface of the layers. The resonance field and linewidth were measured as a function of frequency and DC current bias. Magnetic anisotropy constants and damping parameters are determined and compared to those found in FMR studies of extended films of the same layer structure. [1] A. A. Tulapurkar et al., Nature, 438, 339 (2005) [2] J. C. Sankey et al., Phys. Rev. Lett., 96, 227601 (2006) [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S14.00006: Reducing the critical switching current of magnetic multilayers -- an ab-initio approach. Paul Haney, Derek Waldron, Alvaro Nunez, Rembert Duine, Hong Guo, Allan MacDonald We examine strategies for reducing the critical switching current density of spin valve structures, including the dual spin filter (DSF) design, and the use of depolarizing materials outside of the magnetic layers. We study both ideas from first principles using the non-equilibrium Green's function formalism and direct microscopic evaluation [1] of spatially resolved spin transfer torque contributions. We compare the spin torques present in simple Co-Cu-Co sandwiches with those in the DSF structure. In addition we study the role of Ru layer in enhancing the spin transfer efficiency, exploring the physical origin of Ruthenium's apparent usefulness in microscopic detail. [1] Haney et al.. cond-mat/0611534 [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S14.00007: Spin-Motive Force Studies in Spin-Valves Jun'ichi Ieda, Sadamichi Maekawa, Stewart Barnes A spin-motive force (smf) is the counterpart of an electro-motive force, which couples to spin degrees of freedom of electrons rather than charge ones. Here we discuss how the smf works in the so-called spin-valves. Usually the observed \textit{dV/dI} for spin-valves is analyzed in terms of magneto-resistance. However when the magnetization makes a sudden jump, there often appears a large peak in \textit{dV/dI}, i.e., a voltage jump that is better interpreted in terms of the smf discussed here. In order to see this, we model spin-valves using an equivalent circuit that involves magnetic dissipation represented by the smf as well as electric dissipation through ordinary resisters for both majority and minority currents. There are four possible conduction paths, e.g., the majority electrons tunnel into the majority band, or into the minority band and vice versa. The first path adds an up electron to the free layer and causes a rotation in a certain sense, while the second path adds a down electron and a rotation in the opposite sense. Since the rotations are in opposite senses so is the work done on the free layer and hence the smf. The equivalent circuit with the relevant parameters predicts a stable large angle precession and the voltage signal. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S14.00008: Magneto-transport and spin-torque effects in current perpendicular to the plane spin-valves with Co-Fe-Al magnetic layers Stefan Maat, Matthew Carey, Jeffrey Childress The magneto-transport of current-perpendicular to the plane giant magneto-resistive spin valves utilizing (Co$_{x}$Fe$_{100-x})_{100-y}$Al$_{y}$ alloys in the reference and free layers is investigated. (Co$_{50}$Fe$_{50})_{75}$Al$_{25}$ is determined to be the alloy composition that maximizes magneto-resistance. At this composition the magnetization is around 1000 emu/cm$^{3}$, which is high enough to be used as magnetic material in spin-valves with ultra-thin read gaps for high recording densities. An improvement in magneto-resistance from 1.7{\%} for spin-valves utilizing Co$_{50}$Fe$_{50}$ in reference and free layers to 3.3{\%} for spin-valves utilizing (Co$_{50}$Fe$_{50})_{75}$Al$_{25}$ with the same ``magnetic'' thickness in both parts of the reference and the free layers were observed. The spin-diffusion length for (Co$_{50}$Fe$_{50})_{75}$Al$_{25}$ is determined to be approximately 30 {\AA}. Spin-torque measurements show that the spin-torque current density threshold is approximately 7$\cdot $10$^{7}$ A/cm$^{2}$ in CoFeAl spin-valves in comparison to 13$\cdot $10$^{7}$ A/cm$^{2}$ in CoFe spin-valves. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S14.00009: Magnetization and resistance noise in spin valves J{\o}rn Foros, Arne Brataas, Gerrit E. W. Bauer, Yaroslav Tserkovnyak Electronic noise hinders the application of spin valves as read heads in magnetic hard drives. We report a theoretical analysis of such noise. Electronic or resistance noise in spin valves is caused by fluctuations in the relative orientation of the magnetic layers via the magnetoresistance-effect. Two sources of thermal magnetization fluctuations can be distinguished: Random fields intrinsic to the bulk ferromagnets, and external spin current fluctuations that affect the magnetizations through the spin-transfer torque. The cross talk between fluctuating magnetizations and the corresponding resistance noise strongly depends on the magnetic configuration. In agreement with experiments by Covington et al.\footnote{M. Covington et al., unpublished} we find that the noise level in the antiparallel configuration can exceed that of the parallel one by an order of magnitude. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S14.00010: Effect of Bias on Spin-Transfer Torque in Magnetic Tunnel Junctions. Ioannis Theodonis, Alan Kalitsov, Nicholas Kioussis, Mairbek Chshiev, W.H. Butler The current-induced magnetic switching in non-collinear magnetic tunnel junctions (MTJ) through the spin-transfer torque(STT) provides the possibility of manipulating nonvolatile MRAM, without applying cumbersome magnetic fields. Using tight-binding calculations and the non-equilibrium Keldysh formalism, we have studied the effect of applied bias on the components of the STT, parallel $T_{\vert \vert } $ , and perpendicular, $T_\bot $, to the interface. We show that depending on the exchange splitting, $T_{\vert \vert } $ may exhibit a non-monotonic bias dependence: it may change sign without a sign reversal in current, and in some cases it may even have a quadratic bias dependence. Second, we show that $T_{\vert \vert } $ is given by the difference in spin currents between the FM and anti-ferromagnetic (AF) configurations. Third, the bias dependence for the spin current for the FM (AF) alignment is shown to have a linear (quadratic) bias dependence, whose origin lies on the symmetric (asymmetric) nature of the barrier. The interplay of the spin currents for the FM and AF configurations can lead to a rich behavior of the $T_{\vert \vert } $ on bias. Finally, we find that, $T_\bot $(non-equilibrium exchange coupling), is comparable in size with $T_{\vert \vert } $, and has a quadratic bias dependence. [Preview Abstract] |
Session S15: Focus Session: Magnetism and More on Cornersharing Tetrahedra
Sponsoring Units: GMAGChair: Seunghun Lee, University of Virginia
Room: Colorado Convention Center Korbel 4E
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S15.00001: Spin nematics in pyrochlore antiferromagnet with ferromagnetic next-nearest-neighbor interaction Gia-Wei Chern, Oleg Tchernyshyov The classical Heisenberg antiferromagnet on the pyrochlore lattice remains disordered down to zero temperature. A weak ferromagnetic interaction between second neighbors $J_2$ leads to a discontinuous ordering at a temperature $T_c\sim |J_2|$. Below the transition, a spin order with an extended unit cell containing as many as 1024 spins was found in Monte-Carlo simulations for $J_2 = -0.1 J_1$ [1]. Here we present the characterization of ordered states at a smaller $J_2 = -0.01 J_1$. This time the magnet shows a layered structure in the ordered phase: tetrahedra of the same layer develop a collinear N\'eel order with an in-plane wavevector ${\bf q}= 2\pi(1,1)$. At the mean-field level, each different layer has its own preferred spin direction. Thermal fluctuations, however, favor a collinear alignment of spins in different layers. There still remains a $Z_2$ symmetry for each layer: the N\'eel vector of a plane can be parallel or antiparallel to the common preferred direction, rendering the magnet a \textit{spin nematic,} possibly with an additional bond order. [1] D. Tsuneishi, M. Ioki, and H. Kawamura, J. Phys. Condens. Matter, to be published; cond-mat/0609655. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S15.00002: ABSTRACT WITHDRAWN |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S15.00003: Diffuse Magnetic Scattering in GeCo$_{2}$O$_{4}$ M.K. Crawford, R.L. Harlow, S. Hara, Y. Yoshida, S.I. Ikeda, P. Manuel, D.T. Adroja, J.W. Lynn, Y. Chen, R.A. Fisher The spinel GeCo$_{2}$O$_{4}$, in which the spin-3/2 Co$^{2+}$ ions are located on the vertices of a lattice of corner-sharing tetrahedra, exhibits interesting magnetic and structural properties. GeCo$_{2}$O$_{4 }$has a N\'{e}el transition (T$_{N}$ = 20.6 K) that coincides closely with a cubic to tetragonal structural phase transition, below which $c$/$a \quad >$ 1. In the past we have reported magnetic susceptibility, heat capacity, synchrotron x-ray powder diffraction, neutron powder diffraction and inelastic neutron scattering measurements for this material. In this talk we will describe the results of diffuse magnetic scattering measurements made at temperatures above and below T$_{N}$ using the PRISMA spectrometer at ISIS. The sample was a single crystal grown by the floating zone technique at AIST. The presence of significant amounts of magnetic short-range order at temperatures well above T$_{N}$, and the effect of this short-range order upon the data obtained with other experimental techniques, will be described. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S15.00004: Quantum Spin Ordering in Tb$_2$Ti$_2$O$_7$ Stephanie Curnoe Group theoretical methods are used to analyse quantum spin states in the geometrically frustrated pyrochlore Tb$_2$Ti$_2$O$_7$. The magnetic rare earth spins, with $J=6$, have a ground state doublet due to local crystal electric field. With 4 Tb ions per unit cell, there is therefore a 16-fold degeneracy of the ground state. Symmetry considerations predict a lifting of the degeneracy into a singlet, three doublets and three triplets. One of the triplet configurations is found to be responsible for the $[0,0,2]$ peak in diffuse neutron scattering. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S15.00005: Static Spin Disorder and Field-Induced Low Dimensionality in the Spin Ice Phase of Ho$_2$Ti$_2$O$_7$ Jacob Ruff, Sarah Dunsiger, Bruce Gaulin, Hanna Dabkowska, Yang Zhao, Jason Gardner, Yiming Qiu, John Copley The pyrochlore magnet Ho$_2$Ti$_2$O$_7$ displays a disordered, geometrically frustrated state at low temperature known as ``Spin Ice.'' This short-range correlated magnetic state is so named because it maps onto the proton-disorder problem in water ice. Unique to spin ice, as opposed to water ice, is the tunable parameter of applied magnetic field, which allows a new dimension of its phase behavior to be studied [Fennell et.al, PRB 72, 224411(2005)]. We report time-of-flight neutron scattering experiments probing the magnetic field-induced behavior of Ho$_2$Ti$_2$O$_7$ with the field applied along a crystallographic [110] direction. These results show elastic short-range correlations in Ho$_2$Ti$_2$O$_7$ in zero field, and a decomposition of the pyrochlore lattice into two orthogonal sets of weakly interacting chains in the presence of a [110] magnetic field. One of these subsystems of the pyrochlore lattice undergoes a 3D to 1D crossover with applied field. We compare our results with expectations for a dipolar spin ice model, and with recent results for the antiferromagnetic sister compound Tb$_2$Ti$_2$O$_7$. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S15.00006: Order-by-disorder in frustrated diamond lattice antiferromagnets Jason Alicea, Doron Bergman, Emanuel Gull, Simon Trebst, Leon Balents Normal spinels constituting antiferromagnets on a diamond lattice have recently been the subject of intensive experimental study. To understand the behavior of the many systems in this class exhibiting strong frustration, such as MnSc$_2$S$_4$, we have studied theoretically a model for frustrated diamond lattice antiferromagnets that exhibits complex behavior in accord with numerous observations. Remarkably, with sufficiently strong frustration a massive ground state degeneracy develops amongst coplanar spirals whose propagation wavevectors reside on a two-dimensional ``spiral surface'' in momentum space. We argue that an important ordering mechanism is entropic splitting of these degenerate states, an elusive phenomenon known as order-by-disorder. Extensive Monte Carlo simulations reveal the order-by-disorder phase, with a low ordering temperature. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S15.00007: Spiral spin liquid correlations in diamond antiferromagnets Doron Bergman, Jason Alicea, Emanuel Gull, Simon Trebst, Leon Balents We have introduced a simple model for frustrated magnetism on a
diamond
lattice, appropriate for a number of recently studied normal spinel
materials. The model possesses a massive degeneracy of coplanar spin
spiral states, characterized by propagation wavevectors that
reside on a
two-dimensional surface in momentum space. The degeneracy of low
energy
states significantly suppresses the ordering temperature relative
to the
Curie-Weiss temperature $\Theta_{CW}$. In the intermediate
temperature regime
$T_c |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S15.00008: High-dimensional fractionalization and spinon deconfinement in pyrochlore antiferromagnets Zohar Nussinov, Cristian Batista, Bruce Normand, Stuart Trugman Spin $S = 1/2$ Klein models on the checkerboard and pyrochlore lattices contain in their ground--state manifold the subspace generated by the set of singlet dimer coverings, and thus possess an extensive ground--state degeneracy. Among the many exotic consequences is the presence of deconfined fractional excitations (spinons) which propagate through the entire system. While a realistic electronic model on the pyrochlore lattice is close to the Klein point, this point is in fact inherently unstable because any perturbation $\epsilon$ restores spinon confinement at $T = 0$. We demonstrate that deconfinement is recovered in the finite--temperature region $\epsilon \ll T \ll J$, where the deconfined phase can be characterized as a dilute Coulomb gas of thermally excited spinons. We investigate the zero--temperature phase diagram away from the Klein point by means of a variational approach based on the singlet dimer coverings of the pyrochlore lattices and taking into account their non--orthogonality. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S15.00009: Gapless Bosonic Excitation without symmetry breaking: Novel Algebraic Spin liquid with soft Gravitons Cenke Xu A novel quantum ground state of matter is realized in a bosonic model on three dimensional fcc lattice with emergent low energy excitations. The novel phase obtained is a stable gapless boson liquid phase, with algebraic boson density correlations. The stability of this phase is protected against the instanton effect and superfluidity by self-duality and large gauge symmetries on both sides of the duality. The gapless collective excitations of this phase closely resemble the graviton, although they have a soft $\omega\sim k^2$ dispersion relation. There are three branches of gapless excitations in this phase, one of which is gapless scalar trace mode, the other two have the same polarization and gauge symmetries as the gravitons. The dynamics of this novel phase is described by a new set of Maxwell's equations. The defects carrying gauge charges can drive the system into the superfluid order when the defects are condensed; also the topological defects are coupled to the dual gauge field in the same manner as the charge defects couple to the original gauge field, after the condensation of the topological defects, the system is driven into the Mott Insulator phase. In the 2 dimensional case, the gapless soft graviton as well as the algebraic liquid phase are destroyed by the vertex operators in the dual theory, and the stripe order is most likely to take place close to the 2 dimensional quantum critical point at which the vertex operators are tuned to zero. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S15.00010: Topological order and topological entropy in classical systems Claudio Castelnovo, Claudio Chamon We show that the concept of topological order, introduced to describe ordered quantum systems which cannot be classified by broken symmetries, also applies to classical systems. Starting from a specific example, namely that of a toric code, we show how to use pure state density matrices to construct corresponding thermally mixed ones that retain precisely half the original topological entropy, a result that we generalize to a whole class of quantum systems. In particular, we suggest that classical topological order is likely to arise from the presence of frustration in magnetic systems. Finally, we discuss some of the characteristic properties of classical systems exhibiting topological order, and we argue how the latter may be related to a display of glassy behavior. [Preview Abstract] |
Session S17: Modeling of Polymers: Blocks, Networks and Solutions
Sponsoring Units: DPOLY DCOMPChair: Venkat Ganesan, University of Texas at Austin
Room: Colorado Convention Center 102
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S17.00001: Single-Chain in Mean-Fied simulations for Block Copolymer/Nanoparticle Composites Francois Detcheverry, Yioryos Papakonstantopoulos, Huiman Kang, Paul Nealey, Juan De Pablo, Kostas Daoulas, Marcus Mueller Incorporating nanoparticles into self-assembling copolymers is a promising route towards creation of structures tailored at the nanometer scale and for design of new functional materials. However, predicting the behavior of nanoparticles dispersed in diblock copolymers remains a theoretical challenge. We have developed a single-chain in mean-field simulation technique that permits study of copolymer/nanoparticle composites in two limits, including hard and soft nanoparticles. The models proposed in this work are capable of describing the morphological changes induced by adding nanoparticles to block copolymers, and the distribution of nanoparticles in block copolymer thin films on patterned substrates. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S17.00002: Multiscale Simulations of Pluronic Micelles Grant Smith, Dmitry Bedrov Poly(ethylene oxide)/poly(propylene oxide)/poly(ethylene oxide) triblock copolymers (Pluronics{\textregistered}) self-assemble in aqueous solution to form (roughly) spherical micelles. With increasing polymer concentration and temperature, these nanoscale micellar polymer building blocks begin to interact resulting in formation of particle gels and micellar crystalline phases. The structure of Pluronic solutions has been probed extensively via small angle neutron scattering (SANS). Interpretation of SANS measurements relies on models of various degrees of sophistication for both the single micelle form factor F(q) and the micelle-micelle structure factor S(q). Information regarding single micelle structure and micelle-micelle interactions gleaned from SANS measurements depends sensitively on the model used. A key assumption in modeling of SANS data for these solutions is that the micelles are perfectly spherical, allowing for representation of the q-dependent scattering intensity as a product of F(q) and S(q). We have carried out multiscale molecular simulation studies of Pluronic micelle solutions in order to better understand the structure of these important nanoscale polymer particles, their interaction in aqueous solution, and the validity of the various models utilized in interpreting SANS measurements. Our simulations reveal that the micelles exhibit significant anisotropic character that strongly influences their interaction and the structure of the micellar solution. [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S17.00003: Spinodal Decomposition of Polydispersed ABA' Triblock Copolymers Determined from the Random Phase Approximation T.W. Capehart, Armand Soldera Triblock copolymers produced by free radical polymerization are typically characterized by each of the blocks having a broad distribution of molecular weight. To investigate the effect of this polydispersity on the stability of the homogenous phase of a triblock copolymer, the spinodal decomposition of an ABA' copolymer consisting of ideal Gaussian chains was determined using the random phase approximation (RPA), with each block length characterized by a Zimm-Schulz chain length distribution. The spinodal stability and scattering behavior resulting from microphase separation were determined for volume fractions 0.1 $\le \quad \phi _{B} \quad \le $ 0.9 and polydispersity indices 1.67 $\le $ PI $\le $ 100. Consistent with the reported behavior of fully dispersed multiblock copolymers and diblock copolymers having a single polydispersed block, polydispersity in symmetric ABA' triblocks decreases the stability of the homogenous phase and lowers the value of the Flory-Huggins mixing parameter $\chi _{HF}$ required for microphase separation at the Lifshitz point by more than a factor of two. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S17.00004: Architecture phase diagram for branched block copolymers: Scott Sides, Bobby Sumpter Self-consistent field theory (SCFT) for dense polymer melts has been highly successful in describing complex morphologies in block copolymers. Field-theoretic simulations such as these are able to access large length and time scales that are difficult or impossible for particle-based simulations such as molecular dynamics, while still incorporating more realistic polymer models than many macroscopic, continuum simulations. Using block copolymers as mesoscale templates has potential applications for improved photovoltaic devices and fuel-cells. Many of these applications require control over the domain size of the phase-separated regions. One possible method is changing the architecture of branched copolymers. In this talk I will outline the SCFT method, discuss some efficient methods of numerically solving the SCFT equations and present results for modeling PI-PS block copolymers. The results will be compared to experimental data examining the influence (structure and mechanical properties) of adding more branches of PS along a PI backbone. These copolymer configurations include one PS branch at each graft point on PI, 2 PS's, and up to 4 PS's branches with varying number of branch points on the PI backbone. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S17.00005: Theoretical Investigation of Hydrogen Bonding Networks in Cellulose I$\alpha $ and I$\beta$ Xianghong Qian The cellodextrins in native crystalline cellulose I$\alpha $ and I$\beta $ are unusually stable compared to other polysaccharides, not easily prone to hydrolysis even with chemical or biological catalysts. The stability of crystalline celluloses is most likely due to theirs highly enhanced hydrogen-bonding (HB) networks. We carried out \textit{ab initio} calculations to determine the atomic and conformational structures of native crystalline celluloses I$\alpha $ and I$\beta $. The differences in their HB networks will be discussed and compared with available experimental data. A theoretical model based on competition between hydrogen bonding energy and electronic energy was constructed to explain the size of native crystalline celluloses. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S17.00006: Percolation and Diffusivity of Ideal Polymer Networks Yong Wu, Beate Schmittmann, Royce Zia We study the properties of ideal polymer networks both near and far from the percolation threshold. The polymers are modeled by non-interacting random walks on the bonds of a two-dimensional square lattice. We use numerical techniques to measure the percolation threshold and critical exponents of polymer networks for various polymer lengths. Further, we allow particles to diffuse by hopping over this quenched network of polymers. In particular, we measure the particle current in response to an externally imposed concentration gradient. When the system is far from percolation, we use the effective medium theory to predict its diffusivity and compare the results to the numerical simulation. An application of this study is the investigation of transport properties of gas molecules through thin polycarbonate films [Macromolecules 36, 8673, (2003)]. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S17.00007: Random Networks of Semiflexible Polymers Panayotis Benetatos, Annette Zippelius We present a semimicroscopic replica field theory of the formation of a random network built from wormlike chains. We consider permanent cross-links which fix the orientations of the corresponding filaments to be locally parallel, and we treat them as quenched disorder. We show that, upon increasing the cross-links in the fluid, an isotropic amorphous solid phase emerges, in which the orientations of the chains are frozen in random directions. A different transition to an orientationally ordered (nematic) phase is also possible. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S17.00008: Collapse transition of a chain in the bulk and next to adsorbing surfaces I.A. Bitsanis, A.N. Rissanou, S.H. Anastasiadis We performed lattice MC simulations of single, flexible, self-avoiding chains in bulk solution$^{\ast }$, or adsorbed onto a surface, under poor solvent conditions. Our simulations spanned a wide range of chain lengths (N=20-10000) and cohesive energies. The chain length dependence of the chain size in poor solvents was characterized by a wide plateau of almost null growth. This plateau was related with the development of the incipient constant density core. The ``volume approximation'' regime and genuine power law dependence (1/3) was not reached even for the longest chains and poorest solvents studied. Sufficiently long chains became more but not fully spherical and underwent a 2$^{nd}$ order phase transition. Conformations of the adsorbed chains onto attractive surfaces are not controlled by the bulk $\Theta $- temperature, but by a new temperature $\Theta $' which depends strongly on the interactions with the surface. The adsorption-desorption transition width is determined by the N-dependence of the bulk radius of gyration, for every solvent quality. In poor solvents and strongly attractive surfaces, the coil-to-globule transition turns into a coil to ``pancake'' transition. $^{\ast}$ Rissanou \textit{et al. J. Polymer Sci.~:Part B~: Polymer Phys}., \textbf{44}, p.3651 (2006) [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S17.00009: Polymer relaxation in flow: dynamical slowdown around the coil-stretch transition D. Vincenzi, E. Bodenschatz, A. Puliafito, A. Celani We investigate polymer relaxation dynamics both in extensional and random flows. We show a significant slowdown of dynamics in the vicinity of the coil-stretch transition. The time needed for the probability density function of polymer extension to relax to the equilibrium distribution is much larger than the Zimm time scale. In other words, the effective Weissenberg number differs considerably from the ``bare'' one. For the elongational flow, this effect is related to conformation hysteresis. For random flows, we show that hysteresis is not present. Nonetheless, the amplification of the equilibration time persists, albeit to a lesser extent, due to the large heterogeneity of polymer configurations around the coil-stretch transition. In both cases, the dependence of the drag force on the polymer configuration plays a prominent role. This effect may be relevant for drag-reducing turbulent flows, where the strain rate often fluctuates around values typical of the coil-stretch transition. Our conclusions thus suggest that the conformation-dependent drag should be included as a basic ingredient of continuum models of polymer solutions. The problem is solved analytically in terms of the Fokker-Planck equation for the distribution of the extension of the polymer. The computation of the equilibration time of the polymer in the flow is recast as a central two-point connection problem for a generalized spheroidal wave equation. The results are confirmed by Brownian Dynamics simulations and by experiments in a random flow generated by elastic turbulence (S. Gerashchenko \& V. Steinberg, private communication). [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S17.00010: Solvation potentials for polymer chains in solution Mark Taylor The conformation of a polymer chain in solution is intrinsically coupled to properties of the solvent. In much of the theoretical work on polymers in dilute solution the effects of solvent are treated in an implicit fashion: thus one studies an isolated chain interacting via an effective site-site potential. Although a formally exact mapping is possible between the chain-in-solvent system and a corresponding isolated effective-potential-chain, this mapping involves a many-site solvation potential which is not practical to compute. Thus, one generally resorts to a two-site potential approximation. Here we first demonstrate that the two-site approximation for flexible interaction-site chain-in-solvent systems is rigorously valid for short chains by computing ``exact'' solvation potentials for these chains. We then combine these exact short chain results with the potential of mean force of the pure solvent to construct approximate two-site solvation potentials for long chains. Monte Carlo simulations have been performed for both the isolated effective-potential chains and the full chain-in- solvent systems. These simulations show that our solvation potentials provide a quantitatively accurate description of the conformation of a chain in explicit solvent. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S17.00011: Model-specific features of random walk polymers beyond the mean field limit Kirill Titievsky Much of the theory of block copolymers and polymer interfaces is based on infinite molecular weight (mean field) limit of random walk models. In this limit, specific assumptions about an individual monomer -- its length distribution and contribution to the density fields -- become immaterial, leading to universal behavior. Unfortunately, this assumption is unrealistic for many common systems. Recent field theoretic and explicit chain simulation methods promise to address this problem, but raise an even more fundamental one. With finite chains, we may no longer assume universal behavior and must explicitly analyze the effect of monomer-level representation of a chin on the physical meaning of parameters and global predictions of a random walk model. In this talk, we present key results quantifying the balance between universal and model-specific behavior of common models. The discussion of the fundamental uncertainty of experimental methods used to measure Flory $\chi$ parameters will interest experimentalist. Polymer theorists interested in fluctuations corrections to mean field theories will find our results immediately applicable to their work. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S17.00012: Amorphous and crystalline states of ultrasoft colloids: A Molecular Dynamics study A.N. Rissanou, M. Yiannourakou, I.G. Economou, D. Vlassopoulos, I.A. Bitsanis In dense suspensions of multi-arm star polymers a ``\textit{reversible thermal vitrification}'' was observed experimentally under ``marginal'' solvent conditions. We have investigated the origin of this phenomenon via MD simulations at the \textit{mesoscopic scale}$^{1,2,}.$ We reported the emergence of an amorphous solid state, upon heating of the ``soft spheres''. This transient glassy state resulted from star swelling, ``free volume'' deprivation and ``dynamical arrest'' of ``soft-spheres''. We monitored the ageing of the amorphous stage towards more crystalline FCC structures. The effects of size-dispersity and arm MW on crystallization were studied qualitatively. The overall picture revealed the existence of new ``dynamically arrested'' states, all of which could be termed ``crystalline'' but differed as to the ``degree of crystallinity''. Quantitative analysis of particle trajectories supplied mean square displacement curves which at the higher temperatures are typical of ``delayed'' Fickian diffusion. Even the aged crystalline states exhibited weak diffusion in contrast with the null diffusion of the crystals resulting from a FCC initial configuration. $^{1}$ Rissanou et al., \textit{Phys. Rev. E } \textbf{71} 011402-1~:12 (2005) $^{2}$ Rissanou et al., \textit{J. Chem. Phys. }\textbf{124} 044905-1~:11 (2006) [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S17.00013: Polymer Statics and Dynamics Under Box Confinement Joshua Kalb, Bulbul Chakraborty Current work on biological systems and glass forming polymers (JCP 106, 6176 (1997)) has led to an interest in the study of single polymer systems. The main questions concern relaxation phenomena and the shape adopted by single polymers under hard and soft boundaries. We are concerned with whether or not there is a critical length scale for a confined polymer system. Both structure and relaxation can be described using scaling arguments and tested with Monte Carlo simulations using the bond-fluctuation algorithm (Macromolecules 21,2819 (1988)), which uses a lattice representation of the polymer chain with excluded volume effects. We look at the effects of confinement on a single polymer chain confined to a box by measuring dynamical quantities such as the end-to-end vector and single monomer positions (JACS 124, 20 (2004)). A primary question is how spatial correlations between monomers, `blob's, influence the dynamics. Understanding how these quantities change with various confining geometries will lead to a deeper understanding of biological structures and glass formation. Work supported by NSF-DMR 0403997. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S17.00014: Polymer Translocation in Crowded Environments Ajay Gopinathan, Yong Woon Kim Polymer translocation is an important biological process that involves the transport of biopolymers across a membrane, through a pore, into a different environment. However the influence of the crowded nature of the cellular cytoplasm on translocation dynamics has received little attention. We systematically treat the entropic penalty due to the crowded environment by modeling the crowding effect as arising from the excluded volume due to hard spherical obstacles that could be static or free to diffuse. Using a Fokker-Planck description of the translocation dynamics we find novel exponents describing the scaling of the translocation time with polymer length. We also explicitly consider situations where both sides of the membrane are crowded and where the translocation is driven by a chemical potential gradient. In both cases we observe new and qualitatively different translocation regimes as a function of crowding, transmembrane chemical potential asymmetry and polymer length. [Preview Abstract] |
Wednesday, March 7, 2007 5:18PM - 5:30PM |
S17.00015: Models of polymers subject to a force Gerasim Iliev Atomic force microscopy (AFM) and optical tweezer techniques allow individual polymer molecules to be micromanipulated. For instance, an adsorbed polymer can be pulled off a surface. We consider simple, exactly solvable models of this effect. In addition, we consider models of random copolymers adsorbed at an impenetrable surface and localized at an interface and investigate their response to an elongational force. This is related to a model of unzipping duplex DNA. [Preview Abstract] |
Session S18: Semi-Crystalline Polymers
Sponsoring Units: DPOLYChair: Vahik Krikorian, Massachusetts Institute of Technology
Room: Colorado Convention Center 103
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S18.00001: Tuning Surface and Interface Properties Through Crystal Engineering Stephen Z. D. Cheng, Ryan Van Horn, Wenbin Zhang Surfaces and interfaces are critical for polymer adhesion, wettability, and barrier properties. Therefore, understanding and controlling surface and interface properties has wide ranging impact on a number of emerging fields. To more precisely control these properties, their molecular origin, both chemical and geometrical, need to be elucidated, but the correlation of surface properties to molecular characteristics is inherently difficult due to their 2-D nature. Single crystals can create the well defined surfaces needed to illuminate these relationships. The folds on the crystal surface provide a directional grain. Diblock co-polymers allow the chemical composition of surfaces to be changed and the topology of the surface to be precisely varied by changing the tethering density of the chains. Even the organic/inorganic interface properties can be investigated by attaching crystallizable chains to inorganic particles and utilizing the crystallization to force the 2-D assembly of the particles. In all, single crystals are a versatile way to investigate surface and interface properties. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S18.00002: Temperature Effects on Interlamellar Chain Entanglement and Structural Changes in Isotactic Polypropylene during Uniaxial Tensile Deformation Benjamin Hsiao, Feng Zuo, Jongkahk Keum, Xuming Chen, Hongyu Chen, Jing Li \textit{In-situ} small-angle x-ray scattering, wide-angle x-ray diffraction and \textit{ex-situ} atomic force microscopy techniques were carried out to investigate the structural and morphological changes of isotactic polypropylene (iPP) films during uniaxial tensile deformation at varying temperatures (i.e., room temperature, 60$^{\circ}$C and 160$^{\circ}$C). The mass fractions of amorphous, mesomorphic, and crystal phases were determined. Results indicate that at room temperature, the dominant deformation-induced transition occurs between the crystal and mesomorphic phases, while at high temperature ($>$ 60$^{\circ}$C), the dominant transition occurs between amorphous and crystal (i.e., monoclinic $\alpha $-form) phases. This behavior can be explained by the concept of chain mobility, and the relative strength between the interlamellar entangled amorphous network and the surrounding crystal lamellae.~ It appears that at low temperatures, the interlamellar entanglement network is stronger than the adjacent lamellae, resulting in lamellar fragmentation and formation of oriented mesomorphic phase.~ In contrast, an opposite scenario occurs at high temperatures, resulting in disentanglement of amorphous chains and the growth of crystalline lamellae. [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S18.00003: Influence of Stereotacticity Defects on the crystallization of Isotactic Polypropylene Xiaofeng Chen, Rahmi Ozisik, Sanat K. Kumar, Phillip Choi, Wayne L. Mattice Monte Carlo simulations of coarse-grained polypropylene on a high coordination lattice were performed to investigate the ``equilibrium'' crystallization behavior of isotactic polypropylene with and without stereotacticity defects at various concentrations and distributions. The formation of the helical structure, which forms the basic crystalline unit for isotactic polypropylene, is the focus of the current study. Results indicate that the effect of stereo defects is local - limited to two nearest neighboring repeat units on each side of the stereo defect. In addition, the influence of many stereo defects on the formation of helices is the sum of the influences of each individual stereo defect. The presence of stereo defects retards the crystallization temperature compared to isotactic polypropylene with no defects. This is expected as higher undercooling is necessary to form helices with imperfections. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S18.00004: Polymer crystallization enabled carbon nanotube functionalization Christopher Li, Lingyu Li, Bing Li, Cristin Yavorsky CNTs were periodically decorated with polymer lamellar crystals using both controlled solution crystallization and physical vapor deposition methods, resulting in nano hybrid shish-kebab (NHSK) structures. The periodicity of the polymer lamellae varies from 20 - 100 nm. The kebabs are approximately 5 nm thick with a lateral size of ~ 20 nm to micrometers, which can be readily controlled by varying the crystallization conditions. Both polyethylene and Nylon 6,6 have been successfully decorated on multi-walled as well as single-walled CNTs. The detailed formation mechanism was attributed to size dependent soft epitaxy. Since the polymer kebabs can be easily removed, these unique NHSKs can serve as templates to fabricate a variety of CNTs-containing hybrid materials with controlled pattering on the CNT surface. This method thus opens a gateway to periodical patterning on CNTs or similar 1D nanowires in an ordered and controlled manner. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S18.00005: A Second Harmonic Generation Study of Polyethylene Crystallization Howard Wang, Narayan Ch Das, Hongtao Bian, Yuan Guo, Hongfei Wang In situ optical second harmonic generation (SHG) measurements have been applied to studying kinetics of isothermal crystallization in polyethylene melts. The degree of crystallinity is revealed through correlating to the SHG intensity. The kinetics data at various degree of undercooling are analyzed using the standard Avrami equation. The detection sensitivity, error sources, advantages and limitations of SHG for studying polymer crystallization are discussed. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S18.00006: Spectroscopically Deciphering the Difference in Stabilizing Interactions of Poly(lactic acid) Polymorphs Kaoru Aou, Xiguo Zeng, Shaw Ling Hsu Poly(lactic acid), or PLA, crystals have been difficult to analyze, owing to uncertainties in the actual atomic placements. Our previous studies indicate that the stereocomplex has a melting enthalpy of 129 J/g in comparison to 99 J/g for the alpha crystal. The enthalpic interaction is thus responsible for the stereocomplex thermal stability. Here we use spectroscopy to complement published atomic coordinate information to better understand the origins of PLA crystal stability. Spectroscopic analysis shows that methyl-related vibrations change dramatically as the alpha crystal unit cell thermally shrink, whereas the stereocomplex vibrations were almost unchanged. Indeed the closest (CH$_{2})$H...H(H$_{2}$C) distances in the alpha crystals are shorter than that in the stereocomplex crystal indicating that methyl-methyl interactions have a larger impact on alpha crystal stability. We also performed energy calculations on the alpha and stereocomplex structures from which we find the relative contribution of van der Waals and electrostatic interactions in the two crystals. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S18.00007: Effects of Confinement on the Crystallization of Perfectly Linear Polyethylene Sasha Myers, Richard Register The crystallization behavior of diblock copolymers of perfectly linear polyethylene (LPE) and polyvinylcyclohexane (PVCH) is evaluated in a variety of morphologies, with LPE forming either the matrix or the discrete domains. Because the glass transition temperature of PVCH is higher than the crystallization temperature of LPE, the mesoscale morphology is frozen upon cooling, confining crystallization within or around glassy microdomains. Previous work on confined polyethylene crystallization has employed hydrogenated polybutadiene (hPBD) as the ``polyethylene'' block, but in that case, the ethyl branch defects in hPBD control the crystal thickness and crystallinity rather than confinement. For LPE confined within spheres and cylinders, crystal thickness is limited by microdomain size and the degree of crystallinity is reduced. Lamellar morphologies impose lesser limitations on LPE crystallization, because the crystals stack orthogonally to the lamellar microdomains. Crystal thicknesses inferred from melting point depression and measured directly by SAXS on flow-aligned lamellar samples are in good agreement. Maximum thickness is influenced by both diblock structure and thermal history. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S18.00008: AFM Study of the Beta to Alpha Transition in Isotactic Polypropylene Jerold Schultz, Huihui Li, Xiaoli Sun, Shouke Yan $\beta -$iPP cylindrites were produced by introducing an iPP fiber into the iPP melt at about 175 \r{ }C, followed by crystallization in the range 100 $\sim $ 140 \r{ }C. Before $\beta $-phase crystallization has completed, the material is upquenched above 140 \r{ }C and crystallization allowed to continue isothermally in the $\alpha $-phase. Following the completion of crystallization, morphological details of the $\beta \to \alpha $ interfacial region have been studied by means of PLM and SEM. Through SEM observation, there are two seemingly different transformation morphologies, i.e., a fan-shaped transition, and a profuse transformation. They in fact arise from a single phenomenon, i.e., the development of multitudinous fingers at the growth face of multilayer $\beta $-lamellae. When viewed from different directions, one observes either $\alpha $-fans or the profuse initiation of $\alpha $ crystals in the transition region. Further, the fingerlike lathes are found to be curled cylindrically. It is suggested that the shrinkage deriving from the change in chain packing is causal for the fingering phenomenon, and a simple mechanism is presented to illustrate the curling phenomenon of the $\alpha $ crystals. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S18.00009: An investigation of the effect of processing conditions on the lamellar and spherulitic morphology of polyhydroxyalkanoates Yuping Xie, Yvonne A. Akpalu Polyhydroxyalkanoates (PHAs) have recently attracted much interest because of their biodegradability and biocompatibility. Since the ultimate properties of polymers can be controlled by processing conditions, particularly cooling rates, the systematic and thorough understanding of the effects of cooling rates on the final morphology and the resulting mechanical properties of PHAs is necessary and important. In this presentation, the lamellar (tens of nanometers), fibrillar (several hundred nanometers) and spherulitic ($\sim \quad \mu $m) morphologies of poly (3-hydroxybutyric acid) (PHB) and the copolymer poly (3-hydroxybutyric acid-co-3-hydroxyvaleric acid) (PHBV) crystallized under different cooling rates were studied using small angle X-ray scattering, ultra small angle X-ray scattering, and polarized optical microscopy, respectively. The morphology was observed to depend strongly on cooling rate. The influence of cooling rate on the morphology and mechanical properties such as toughness, tensile strength and overall stress-strain behavior will be discussed. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S18.00010: Confinement effects in polymer crystal nucleation from the bulk to ``few-chain'' systems Kari Dalnoki-Veress, Michael V. Massa, Jessica L. Carvalho We have studied crystallisation in poly(ethylene oxide) (PEO) droplets with volumes ranging over several orders of magnitude. In all samples, homogeneous nucleation was observed, scaling with the volume of the droplet, down to systems with as few as $\sim 10$ polymer chains. Surprisingly, nucleation was unaffected by the high degree of confinement, despite a large surface to volume ratio and the restriction of chains to lengthscales much smaller than the radius of gyration. Nucleation was also found to be independent of chain length for two molecular weights studied, which differed in size by an order of magnitude. Furthermore, crystallisation of much shorter PEO chains, within spherical domains of diblock copolymer samples, gave results consistent with the homopolymer droplets studied. The results suggest that, for these highly supercooled systems, the formation of a nucleus is influenced by its immediate surroundings, and does not depend on the entire length of the constituent chains. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S18.00011: Architecture dependence of crystallizable sequences in semicrystalline polymers Vikram K. Kuppa, Gregory C. Rutledge Monte Carlo molecular simulations are used to examine the backbone conformations of short loops reconnecting the crystal surfaces of isotactic polypropylene(iPP) and polyethylene(PE). Fractions of alternating trans and gauche torsional sequences for iPP and trans states for PE are identified as the appropriate parameters to measure crystallizability. The higher fraction of gauche dihedrals in backbone conformations of iPP make it more flexible than PE. Short loops of both architectures show a high degree of disorder, as manifested by the large number of unfavorable, non-crystallizable sequences. Density profiles for both polymers show a characteristic decay in crystallizable torsions from the crystal phase to the melt, over the interphase. For iPP, the increased flexibility of the chains leads to a larger population of adjacent re-entry loops on the fold surface, which are spatially correlated to the minimum in density profiles of crystallizable sequences. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S18.00012: Tailor-Made Onion-Like Stereocomplex Crystals in Incompatible Enantiomeric Polylactide Containing Block Copolymer Blends Lei Zhu, Lu Sun, Lixia Rong, Benjamin Hsiao Stereocomplexes formed by blending enantiomeric PLA block copolymers have demonstrated great potential for applications in biomedical devices. Here, we successfully synthesized well-defined enantiomeric PLA containing block copolymers by living ring-opening polymerization of L- and D-lactides from hydroxyl-terminated hydrophilic [poly(ethylene oxide) or PEO] and hydrophobic [poly(ethylene-co-1,2-butylene) or PEB] oligomers. Quantitative stereocomplex formation was achieved by equimolar mixing of the incompatible PEO-$b$-PLLA and PEB-$b$-PDLA. Intriguingly, in the blend of PEB-b-PDLA and PEO-b-PLLA with different PEB and PEO molecular weights, onion-like stereocomplex crystals were observed because of unbalanced surface stresses caused by different PEO and PEB molecular weights. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S18.00013: Crystallization of linear polyethylene in nanoporous cylindrical pores Kyusoon Shin, Euntaek Woo, June Huh, Young-Gyu Jeong The linear polyethylene with nearly monodisperse molecular weight distribution is confined in cylindrical nanopores, and the crystallization behavior of the polyethylene is investigated. The crystalline structure and crystallization kinetics of the linear polyethylene in the cylindrical nanopores, examined by x-ray diffraction and calorimetry, shows noticeable deviations from those of bulk polyethylene. We find the imposed confinement induces significant frustration which enables us to control the crystal structure formation such as crystal orientation. The detailed crystallization kinetics of polyethylene in the cylindrical nanopores together with the crystal structure will be discussed. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S18.00014: Crystallization of Nucleator Nanofibrils in Polypropylene Melt J. Lipp, Y. Cohen, R.L. Khalfin, M. Shuster, A.E. Terry Self-associating molecules act as nucleating agents in polypropylene (PP) in order to increase the crystallization rate and decrease the crystallite size, by forming a fine network of nanofibrils within the polymer melt. The thermodynamic and kinetic basis for formation of this structure is not clear. Current models usually invoke a spinodal decomposition mechanism, as temperature is lowered into an immiscibility gap. This presentation deals with 1,3:2,4-Di(3,4-dimethylbenzylidene)sorbitol [dMdBS] in PP. The kinetics of structure formation was evaluated using small angle x-ray scattering, including synchrotron measurements. The results indicate a crystallization process by means of a nucleation and growth mechanism, which is controlled by the rate of homogeneous nucleation. The thermodynamic temperature of this process, determined for two different dMdBS concentrations from the temperature dependence of the crystallization half-time, agrees with that obtained by group-contribution calculation of the solubility parameters. dMdBS nanofibril formation has a remarkable effect on PP crystallization in melt-spun fibers. Just 0.4{\%} additive at a moderate spin-draw ratio yields a crystalline morphology comprised of parallel chain-folded lamellae, with the lamellar normal highly aligned along the fiber axis. [Preview Abstract] |
Wednesday, March 7, 2007 5:18PM - 5:30PM |
S18.00015: Effect of OMS on Crystal Phases of PVDF Crystallized From the Melt B.S. Ince-Gunduz, R. Alpern, D. Amare, K. Burke, P. Cebe, J. Crawford, B. Dolan, S. Jones, R. Kobylarz, M. Koplitz, M. Meleski, M. Reveley, A. Sagiv Addition of extremely small amounts of organically modified silicate (OMS) into poly(vinylidene fluoride) (PVDF) causes the polar beta phase to form preferentially in quenched or cold-crystallized samples. Here, we report on further studies of PVDF/OMS nanocomposites crystallized from the melt. Nanocomposite samples were prepared with 0- 4wt.{\%} OMS, and crystallized from melt at 150$^{\circ}$C for an hour or at 165$^{\circ}$C for 16 hrs. In neat PVDF, these treatments favor the growth of non-polar alpha and polar gamma crystal phases, respectively. SAXS and WAXS, FTIR, and DSC were used to establish the crystal phase. Morphology was studied using POM and AFM. For samples crystallized at 150$^{\circ}$C, formation of beta-PVDF can be seen in nanocomposites even at 0.01wt.{\%} of OMS, and the ratio of beta phase to alpha phase increases as the OMS content increases. POM reveals that highly birefringent alpha spherulites occur together with less birefringent spherulites. FTIR microscopy shows these weakly birefringent spherulites are in beta phase. For samples crystallized at 165$^{\circ}$C, alpha and gamma phases occur together, and the gamma fraction increases with the addition of OMS. Research supported by: NSF-DMR Polymers Program, grant DMR-0406127. [Preview Abstract] |
Session S19: Mesoscopic Systems, Clusters, and Nanoscale Systems
Sponsoring Units: DCPChair: Ravindra Pandry, Michigan Technological University
Room: Colorado Convention Center 104
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S19.00001: First principles study of adsorption and dissociation of H$_{2}$, O$_{2}$, and CO on $\alpha $-Al$_{2}$O$_{3}$ (0001) supported Pt-Co alloy T.J. Dhilip Kumar, C. Zhou, B. Naduvalath Recently, there has been several investigations carried out to improve the electro-catalytic activity of Pt and Pt based alloys for the oxygen reduction reaction in fuel cell electrodes. In particular, Pt-Co alloy systems have been often employed. To gain physical insight into the catalytic properties of these systems we have performed a systematic study of the electronic structures, bonding and growth patterns of nanoclusters of Pt-Co alloy using first principles density functional calculations. The 3:1 ratio of Pt-Co alloy has been constructed as nanoclusters and thin film supported on $\alpha $-Al$_{2}$O$_{3}$. The geometry optimized tetrahedron, and the square planar structures of Pt$_{3}$Co are placed over the slabs of six layers $\alpha $-Al$_{2}$O$_{3 }$(0001) surface. Activity of H$_{2}$, O$_{2}$ and CO on these structures from various approaches has been explored. In all our calculations the non-locality in the exchange correlation functional is taken into account by considering spin polarized generalized gradient approximation as proposed by Perdue and Wang. Brillouin zone integrations have been performed using Monkhorst-Pack grids with (2 X 2 X 1) $k$-point meshes. The electronic structures of these systems have been analyzed by computing the electronic density of states. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S19.00002: Influence of Charge State on the Reaction of FeO$_{3}^{+/-}$ with Carbon Monoxide J.U. Reveles, S.N. Khanna, N.M. Reilly, G.E. Johnson, A.W. Castleman Jr. A synergistic study combining experiments in molecular beams and first principles electronic structure calculations within a gradient corrected density functional approach is used to investigate the reactivity of charged FeO$_{3}$ clusters with CO. It is shown that highly oxidized iron clusters are able to readily effect the oxidation of CO to CO$_{2}$ at ambient temperature. Calculated energy profiles of the reaction demonstrate that the oxidation efficiency is governed by the strength of oxygen binding to the iron atom. Results for FeO$_{3}^{+/-}$ are presented and reveal that cation clusters are more efficient than the corresponding anion clusters at effecting the oxidation reaction due to different bond energies resulting from charge distribution. [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S19.00003: Atomic-scale Characterization of Free Radical Adsorption to the Si(111)-7 x 7 Surface Nathan Guisinger, Shaun Elder, Nathan Yoder, Mark Hersam Ultra-high vacuum (UHV) scanning tunneling microscopy (STM) was employed to investigate free radical chemistry on the Si(111)-7 $\times $ 7 surface with atomic-scale spatial resolution. In particular, the nitroxyl free radical 2,2,6,6-tetramethyl-1-piperidinyloxy (TEMPO) was explored, due to its single-site binding mechanism. UHV STM imaging of isolated molecules revealed that TEMPO covalently reacts with adatom dangling bonds with high affinity, while exhibiting a preference towards center adatom sites during the initial stages of adsorption. Adsorption to center and corner adatoms approached a ratio of 1:1 with increased surface coverage. Upon saturation, the surface exhibited long-range ordering. Following adsorption to a center adatom site, significant charge transfer occurred between TEMPO and a neighboring adatom. Scanning tunneling spectroscopy was utilized to investigate this delocalized effect by spatially mapping the local density of states. This study provides fundamental insight into free radical surface chemistry and suggests a direct pathway for forming nearly perfectly ordered organic adlayers on the Si(111)-7 $\times $ 7 surface. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S19.00004: CO$_{2}$ Sensing and CO and H$_{2}$O Interactions on Mats of Gold Nanoparticle Decorated GaN Nanowires. C.A. Berven, R. Abdelrahaman, W. Barredo, D.N. McIlroy We report on the use of mats of gold-nanoparticle-decorated GaN nanowires for the detection of CO$_{2}$ and the possible generation of CO$_{2}$ and H$_{2}$ by interactions of CO and H$_{2}$O on the surfaces of the gold nanoparticles. The sensor was constructed from a 10-20 $\mu $m thick mat of GaN nanowires grown on a 1 cm diameter sapphire substrate followed decoration of the nanowires with gold nanoparticles. Selective wet etching was then used to reduce the amount of gold on the nanowires. Electrical measurements were made of the mat under various atmospheres. When exposed to just water vapor, CO or H$_{2}$ the current-voltage curves were similar to those when in vacuum. However, when the device was exposed to CO followed by H$_{2}$O, we saw a significant reduction in the current at all values of applied voltage. Exposure to just CO$_{2}$ showed a similar response to that of mixing CO and H$_{2}$O implying that CO$_{2}$ is being generated and that what is being sensed is the product of the reaction of CO + H$_{2}$O creating CO$_{2}$. As a consequence of CO$_{2}$ being detected after the mixing of CO and H$_{2}$O, we speculate that H$_{2}$ is being generated giving a possible new mechanism for H$_{2}$ generation. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S19.00005: Sorption and condensation of Neon in MCM48 as monitored by X-ray diffraction. Paul Sokol, Duncan Kilburn In this submission we report on experiments that simultaneously measure adsorption isotherms and X-ray diffraction measurements on Neon in the mesoporous silica glass MCM 48. The isotherms are similar to those reported previously in the literature. Simultaneous X-ray measurements allow the variation of adsorbate structure to be monitored as the sorption progresses. We observe that the most rapid increase in the intensity of the first peak in the scattered spectrum occurs during the initial sorption of Neon layers, but before capillary condensation. We show that this can be explained via conventional scattering theory and that it provides a new perspective on the processes of monatomic gas sorption. We also report on modified phase transitions and structures for the confined Neon. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S19.00006: Water in Carbon Nanotubes:A New Quantum Phase of Water George Reiter, Christian Burnham, Dirar Homouz, Philip Platzman, Jeremy Mayers, Tyno Abdul-redah, Alexander Moravsky, Jichen Li, C.-K. Loong, Alexander Kolesnikov The momentum distribution of the protons in ice Ih, ice VI, high density amorphous ice and water in carbon nanotubes has been measured using deep inelastic neutron scattering. We find that, at low temperatures, the momentum distribution for the water in the nanotubes is qualitatively unlike that in any other phase of water or ice. The kinetic energy of the protons is 35mev less than that in ice Ih at the same temperature, and the high momentum tail of the distribution, characteristic of the molecular covalent bond and the stretch mode of the proton in the molecule, is not present. We observe a phase transition between 230K and 268K in the nanotube data. The high momentum tail is present in the higher temperature measurement, which resembles that of ice Ih at the same temperature. Molecular dynamics simulations show the phase transition to be associated with the reordering of the hydrogen bonds of the 2-D ice layer, coating the interior of the nanotube at low temperatures, into a 3-D structure at 268K. Although there is yet no model for water that explains the low temperature momentum distribution, our data reveals that the protons in the hydrogen bonds in the 2-D ice layer are coherently delocalized, and that the 2-D ice layer is a qualitatively new phase of ice. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S19.00007: Bulk vs. Nanoscale WS$_2$: Finite Size Effects and Solid State Lubrication S. Brown, J.L. Musfeldt, I. Mihut, J.B. Betts, A. Migliori, R. Rosentsveig, R. Tenne Metal dichalcogenide nano-structures have recently attracted attention due to their unique closed cage structures, hierarchy of length scales, and outstanding solid-state lubrication behavior. In order to understand the bulk vs. nanoscale effects, we measured the low temperature specific heat of layered and nano-particle WS$_2 $. Below 9 K, the specific heat of the nano-particles deviates from that of the bulk counterpart. Further, it deviates from the usual T$^3$ dependence below 4 K, due to both finite size effects and inter-particle interactions. This separation of nanoscale effects from T$^3$ dependence can be modeled by assuming that the phonon density of states is flexible, changing with size and shape of the nanoparticle. We invoke relationships between low temperature T$^3$ phonon term, Young's modulus, and friction coefficient to assess the difference in the tribological properties. Based on this analysis, we conclude that the improved lubrication properties of the nanoparticles are extrinsic in origin. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S19.00008: Theory of surface enhanced Raman scattering from a molecule adsorbed on a chain-like cluster of metallic nanoparticles and nanoshells Jeanne Bonner, Karamjeet Arya The Raman cross-section from a molecule is believed to enhance by more than 10 orders of magnitude when it is adsorbed on a cluster of silver nanoparticles. These large enhancements are attributed to the resonant excitation of the surface plasmon modes of the cluster those have very large localized electric field near its surface. The resonant position and the electric field of these modes are very sensitive to the structure of metal particles and the size and shape of the cluster. Using multiple scattering in the wave-vector space between the individual particles in the cluster we have calculated the resonant position of these modes and their enhanced electric field for clusters of different shape formed from two, three, and four nanospheres and nanoshells. We find the maximum enhancement in the cross-section can reach up to 10 orders of magnitude for silver particle clusters. We also find important new results for the chain like clusters of three or more particles where there is a dramatic increase in the enhancement due to very sharp resonant features of the modes. These features may be helpful in identifying the cluster shape and size in the surface enhanced Raman scattering experiments. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S19.00009: ABSTRACT WITHDRAWN |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S19.00010: ABSTRACT WITHDRAWN |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S19.00011: Assembly and Interaction of Au/C Core-Shell Nanoparticles Peter Sutter, Eli Sutter, Yimei Zhu The encapsulation of metal nanoparticles in fullerene cages has attracted much interest recently due to the expected novel and exotic properties. Despite the interest in these nanostructures, important properties, such as the thermal stability and interactions of encapsulated nanoparticles as well as the process of encapsulation itself are not entirely understood. Using real-time transmission electron microscopy we study the formation of Au/C core-shell structures from C-supported Au nanoparticles, and their thermally and electron beam induced interactions [1, 2]. At temperatures below 400C no C-shell is assembled, and closely spaced Au nanoparticles interact by coalescence. At high temperatures (400C - 800C) the Au particles are transformed into Au/C core-shell structures via encapsulation into curved, fullerene-like C shells. The shells initially passivate the Au cores and inhibit their coalescence. But under electron irradiation, the Au cores can break free from their shells, and hence can coalesce. Surprisingly, at this stage the assembled C-sheets may actually enhance the coalescence process by driving the directed motion of Au/C particles and causing the efficient contraction of widely spaced particle ensembles. \newline [1] E. Sutter, P. Sutter, Y. Zhu, Nano Lett. 5, 2092 (2005). \newline [2] E. Sutter, P. Sutter, Y. Zhu, Surf. Sci. 600, 3654 (2006). [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S19.00012: DFT study on the charge density shift bucky-ferrocene vs. bucky-ruthenocene Tunna Baruah, Rajendra Zope, Mark Pederson Iron and ruthenium atoms have similar valence electron configurations. Ferrocene and ruthenocene are stable metallocenes that satisfy the 18-electron rule. Recently, Sawamura et al. [J. Am. Chem. Soc. vol. 124, pp. 9354 (2002)] have succeeded in synthesizing fused complexes of ferrrocene and ruthenocene with fullerene, known as bucky-ferrocene and bucky-ruthenocene, respectively. The experiments show shift in charge density from ferrocene to fullerene in the ground state. However, no charge transfer from ruthenocene to fullerene is observed in case of the bucky-ruthenocene. We have performed all electron density functional calculations to obtain the equilibrium structures of the bucky-ferrocenes and bucky-ruthenocenes. Both, the staggered and eclipsed geometries are examined. The differences in the electronic structure and nature of bonding in these two systems are studied by analyzing the frontier orbitals, dipole moments, and charge density in their ground and singlet excited states. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S19.00013: Temperature-dependent properties of SiC Clusters C. Ghosh, M. Yu, S. Shen, C.S. Jayanthi, S.Y. Wu Using a semi-empirical quantum mechanics based molecular dynamics simulation [Phys. Rev. B, 74, 155408 (2006)], Si$_{n}$C$_{m}$ clusters were shown to exhibit several types stable structures corresponding to different compositions and distributions of Si and C but a fixed total number of atoms [Ming Yu et al. -- APS March 2007]. Specifically, it was shown that an almost uniform admixture of Si and C atoms in a 147-atom SiC cluster exhibited a bucky diamond structure, while the Si-rich and the C-rich stable structures for the same fixed number of total atoms (n+m= 147) exhibited totally different structures with different co-ordinations, bonding, etc. In the present work, we will investigate how equilibrium structures of these different structures evolve with the increase of the temperature from 0K to the melting temperature, and quantities such as pair-distribution functions, electronic density of states, etc. will be calculated up to the melting temperature. This study will provide characterizations of both ordered and disordered SiC clusters, as well as SiC ``liquids'' in reduced dimensions. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S19.00014: Thermodynamic Properties and Grain Growth in Pt Nanoparticles Katherine Sloyan, Thomas Ekiert, Karl Unruh The evolution in the structural and thermodynamic properties of chemically prepared Pt nanoparticles has been studied by x-ray diffraction (XRD), transmission electron microscopy (TEM), differential scanning calorimetry (DSC), and ac magnetic susceptibility measurements. Depending on the synthesis conditions, the XRD and TEM measurements indicated that nanoparticles could be prepared with mean diameters between about 5 and 10 nm. A combination of XRD, TEM, and DSC measurements also indicated that the as-prepared nanoparticles were stable with respect to grain growth to temperatures of about 300 \r{ }C. Above this temperature, grain growth resulted in an increase in the mean particle size and a slight increase in the Pt lattice parameter as well. AC susceptibility measurements as a function of the temperature indicate that with decreasing grain size there is an increase in the real part of the susceptibility and a corresponding decrease in the imaginary susceptibility. [Preview Abstract] |
Wednesday, March 7, 2007 5:18PM - 5:30PM |
S19.00015: Ligand control of solubility and capping structure of colloidal CdSe nanorods Wei Wang, Sarbajit Banerjee, Shengguo Jia, Michael Steigerwald, Irving Herman The length and functional group of the organic capping ligands of colloidal CdSe nanorods play a critical role in determining their solubility in chloroform. Optical transmission spectroscopy shows decreased solubility of CdSe nanorods capped by relatively long ligands, and increased solubility of CdSe nanorods capped by alkyl phosphonate ligands. $^{1}$H and $^{31}$P nuclear magnetic resonance (NMR) and inductively coupled plasma-atomic emission spectroscopy (ICP-AES) studies indicate that when mixtures of phosphonic acids with different lengths are used in synthesis, the shorter ligands selectively remain on the inorganic surface, but the overall number of ligands on the surface is smaller than that of the nanorods capped by long ligands. The proposed capping structure of colloidal CdSe nanorods is that there is a shell of ligands bound to the core of the nanorods and additional ligands can be trapped by this shell. The bound and the trapped ligands can strongly affect the solubility of the individual nanorods and the interactions between the nanorods that lead to aggregation. This work is supported by the MRSEC program of the National Science Foundation under Award No. DMR-0213574 and by the New York State Office of Science, Technology, and Academic Research (NYSTAR). [Preview Abstract] |
Session S20: Focus Session: Nanoscale Ferroelectrics, Switching, and Domains
Sponsoring Units: DMPChair: Ho Nyung Lee, Oak Ridge National Laboratory
Room: Colorado Convention Center 105
Wednesday, March 7, 2007 2:30PM - 3:06PM |
S20.00001: Probing nanoscale ferroelectricity by ultraviolet Raman spectroscopy Invited Speaker: Conventional vibrational spectroscopies operating in visible and infrared range fail to measure the phonon spectra of nanoscale ferroelectric structures because of extremely weak signals and the overwhelming substrate contribution. In this talk, application of ultraviolet (UV) Raman spectroscopy for studies of lattice dynamics and ferroelectric phase transitions in nanoscale ferroelectrics will be presented. We demonstrate that UV Raman spectroscopy is an effective technique allowing the observation of phonons and determination of the ferroelectric phase transition temperature ($T_{c})$ in nanoscale ferroelectrics, specifically, BaTiO$_{3}$/SrTiO$_{3}$ superlattices having the ferroelectric BaTiO$_{3}$ layers as thin as 1 unit cell, and single BaTiO$_{3}$ layers as thin as 4~nm. BaTiO$_{3}$/SrTiO$_{3}$ superlattices and ultrathin BaTiO$_{3}$ films studied were grown by molecular beam epitaxy on SrTiO$_{3}$ as well as GdScO$_{3}$ and DyScO$_{3}$ substrates. Excellent epitaxial quality and atomically abrupt interfaces are evidenced by X-ray diffraction and high resolution transmission electron microscopy. UV Raman results show that one-unit-cell thick BaTiO$_{3}$ layers in BaTiO$_{3}$/SrTiO$_{3}$ superlattices are ferroelectric with the $T_{c}$ as high as 250 K, and induce the polarization in much thicker SrTiO$_{3}$ layers adjacent to them. The $T_{c}$ in superlattices was tuned by hundreds of degrees from $\sim $170 to 650 K by varying the thicknesses of BaTiO$_{3}$ and SrTiO$_{3}$ layers. Using scandate substrates enables growth of superlattices with systematically changed coherent strain, thus allowing studying the stress effect on the ferroelectric phase transitions. UV Raman data are supported by the thermodynamic calculations of polarization in superlattices as a function of temperature. The work was done in collaboration with A. Soukiassian, W. Tian, D.G. Schlom, Y.L. Li, L.-Q. Chen, X.X. Xi (Pennsylvania State University), A. Bruchhausen, A. Fainstein (Centro Atomico Bariloche {\&} Instituto Balseiro, Argentina), R. S. Katiyar (University of Puerto Rico), A. Cantarero (University of Valencia, Spain), K.J. Choi, D.M. Kim, C.-B. Eom (University of Wisconsin), H.P. Sun, X.Q. Pan (University of Michigan), S.M. Nakhmanson; K.M. Rabe (Rutgers University), Q.X. Jia (Los Alamos National Laboratory) [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S20.00002: Abnormal retention behavior of Bi$_{3.25}$La$_{0.75}$Ti$_{3}$O$_{12}$ thin films observed by electrostatic force microscopy T.Y. Kim, J.H. Lee, H.R. Yoon, Y.J. Oh, M.R. Choi, W. Jo We report charge retention in c-axis oriented and preferentially (117) oriented ferroelectric Bi$_{3.25}$La$_{0.75}$Ti$_{3}$O$_{12}$ thin films by electrostatic force microscopy. Core-level electronic states and local ordering were examined by x-ray photoelectron spectroscopy and extended x-ray absorption fine structure, which are useful to interpret the unique retention behaviors in the films. Raman scattering spectroscopic studies were also used to look into phonon modes of the materials, which are occasionally difficult to understand due to other phases. Surface charges of the films were observed as a function of time in a selected area which consists of a single-poled region and a reverse-poled region. The (117) oriented film shows the extended exponential decay with characteristic scaling exponents, \textit{n $\sim $ }1.5. The preferentially $c$-axis oriented film shows retained behaviors regardless of the poling. Decay and retention mechanisms of the regions are explained by space-charge redistribution and trapping of defects in the films. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S20.00003: Chemical Control of Ferroelectric Switching in PbTiO$_3$ Films Ruey-Ven Wang, Stephen Streiffer, Fan Jiang, Paul Fuoss, Dillon Fong, Jeffrey Eastman, G. Brian Stephenson, Kujtim Latifi, Carol Thompson Stabilization of monodomain polarization in ultrathin ferroelectric films can be accomplished via surface-adsorbed ions [Fong, D. D. \emph{et al}., \emph{Phys. Rev. Lett.} \textbf{96}, 127601/1-4 (2006)]. Here, we use in-situ grazing-incidence synchrotron x-ray scattering to study the ferroelectric polarization and surface structure of PbTiO$_3$ ferroelectric thin films as a function of vapor environment above the film surface. Coherent PbTiO$_3$ films of 10 nm thickness were grown on conducting SrRuO$_3$ on (001) SrTiO$_3$ substrates. We observe that the polarization direction in the PbTiO$_3$ film can be reversed by changing between oxidizing and reducing atmospheres, and the piezoelectric butterfly loop can be traced out as a function of oxygen partial pressure. Additionally, a new surface reconstruction is observed under reducing conditions. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S20.00004: Difference in ferroelectric aging between A-site and B-site acceptor doped BaTiO$_{3}$ crystals. Lixue Zhang, Xiaobing Ren Aging, the time-dependent changing of material properties, has been widely found in acceptor-doped ABO$_{3}$ ferroelectrics. The origin is usually ascribed to gradual domain stabilization by acceptor-dopant-generated oxygen vacancies. As in ABO$_{3}$ systems both A-site and B-site acceptor doping can induce oxygen vacancies, they are expected to cause similar aging effect. However, here we report that there exists a significant difference in aging effect between A-site (K-doped) and B-site (Mn-doped) acceptor-doped BaTiO$_{3}$ crystals. The B-site acceptor doping has much stronger aging effect. This new phenomenon can be fully explained by a semi-quantitative model based on the defect symmetry principle$^{1-4}$. According to this model, the ``strength'' of aging is determined by a symmetry-conforming force of the defect symmetry to crystal symmetry. This model may also have potential applications in predicting and understanding the strength of the aging effect in other systems. [1] X. Ren, Nat. Mater., 3:91, 2004; [2] L.X. Zhang, W. Chen and X. Ren, Appl. Phys. Lett., 85:5658, 2004; [3-4] L.X. Zhang and X. Ren, Phys. Rev. B, 71:174108, 2005; Phys. Rev. B 73:094121, 2006. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S20.00005: ABSTRACT WITHDRAWN |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S20.00006: High Speed Nanoscale Ferroelectric Domain Reading and Writing Ramesh Nath, Ramamoorthy Ramesh, Bryan Huey Piezo Force Microscopy is commonly employed for nanoscale studies of ferroelectric thin films, providing images of local domain orientation and piezoelectric properties. However, applications of PFM to dynamic studies are limited because image acquisition times are long (typically $>$100 sec.). A recent variation in Atomic Force Microscopy, High Speed Scanning Property Measurements, overcomes this challenge by allowing image frame rates on the order of one second, for image sizes from nanometers to tens of micrometers. For epitaxial thin films of PZT and BiFeO3, domain nucleation and growth is statistically studied at the nanoscale based on hundreds of images acquired at time steps of one second. For uniform films homogeneous exponential domain growth is observed, while heterogeneous domain growth is detected at epitaxial PZT grain boundaries. Finally, individual domain reading and writing is achieved at the highest tip speeds reported, beyond 1 centimeter/second, revealing a two-stage relationship between domain size and tip speed discussed experimentally and theoretically. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S20.00007: Switching domain dynamics in ferroelectric thin films. Alexei Grigoriev, Dal-Hyun Do, Rebecca Sichel, Paul Evans, Bernhard Adams, Eric Dufresne Polarization switching in ferroelectric materials is governed by the microscopic details of the nucleation and growth of polarization domains. The electric-field dependence of the density of domain nucleation and the domain wall velocity are largely unknown. Using time-resolved x-ray microdiffraction, we have explored the switching dynamics of thin ferroelectric films over a wide range of applied electric fields, starting from the coercive field and ranging up to the maximum field allowed by the thin film capacitors. By separating dynamics of nucleation and domain wall motion we can study the relationship between these two phenomena and their relative contributions to the polarization switching process. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S20.00008: Coexistence of polar order and local domain dynamics in ferroelectric SrTi$^{18}$O$_3$ Annette Bussmann-Holder, Helmut Buettner, Alan Bishop Perovskite oxide ferroelectrics show classical soft mode behaviour typical for the onset of a homogeneous long-range polar state and a displacive phase transition. Besides these long wave length properties, local effects are observed by different probes which reveal that dynamical symmetry breaking already takes place far above the actual instability. It is shown here that displacive mean-field type dynamics can indeed \underline{coexist} with local dynamical symmetry breaking. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S20.00009: Ferroelastic domain dynamics in polydomain, epitaxial BaTiO$_{3}$ thin films Anthony Meier, Bruce Wessels The dynamics of 90$^{o}$-domain switching in polydomain, epitaxial barium titanate thin films were studied using the linear electro-optic effect. Co-planar electrodes were deposited on the film surface and bias was applied in the plane of the film for poling. Upon application of a bias pulse, the E-field driven electro-optic response increased to its saturation value within the 28 ns rise time of the measurement system. Upon removal of the bias pulse, a slow decay of the electro-optic response due to strain-driven relaxation of the ferroelastic domains was observed. Measured relaxation time constants ranged from 5 to 17 ms, exhibiting a power law dependence on the applied E-field amplitude given by $\tau $ = AE$^{m }$ with m = 0.98-1.23. X-ray diffraction measurements indicated that under a steady state in-plane bias voltage, the $a$-domain fraction increased while both the $c$- and $a$-domain surface normal lattice parameters increased due to the in-plane compressive strains that result from the 90$^{o}$ flipping of $c$-domains. X-ray diffraction measurements after removal of the bias voltage were indistinguishable from those prior to application of the bias voltage indicating that the ferroelastic domains had relaxed back to their original state. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S20.00010: Ferroelectric domain dynamics under an external field Andrew Rappe, Young-Han Shin, Ilya Grinberg, I-Wei Chen Ferroelectric oxides with the perovskite structure are promising materials for nonvolatile random access computer memories. PbZr$_{1-x}$Ti$_x$O$_3$ is currently used for this purpose. In these materials, storage of a bit involves the reorientation of polarization, or the movement of a ferroelectric domain wall. However, the intrinsic properties of the polarization reversal process of ferroelectrics at the microscopic level still have not been revealed, either by experiments or computations. In this talk, I will show how this problem can be studied with a multi-scale approach. First, an interatomic potential is parameterized to first-principles calculations, and molecular dynamics (MD) simulations are performed. Second, stochastic Monte Carlo simulations are conducted, with nucleation and growth rates extracted from the MD simulations. For PbTiO$_{3}$, we find that while the overall domain-wall speed from our calculation is in good agreement with the recent experiments, the size of the critical nucleus is much smaller than predicted from the Miller-Weinreich model. We think that this discrepancy can be explained by a diffuse-boundary model and by the fact that the overall wall motion is controlled by both the nucleation and growth processes. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S20.00011: Ferroelectric instabilities in CaTiO3 nanoparticles from first principles Shen Li, Karin Rabe Ferroelectric instabilities of nanoparticles are expected to be markedly different from those of the bulk material. In many cases, ferroelectricity could be weakened or suppressed, although there is no clear reason why this should always be the case. Previous first-principles studies have shown that in bulk cubic CaTiO3, the polar instability is suppressed by the stronger oxygen octahedron-rotational instabilities, yielding a nonpolar ground state. To investigate the possibility that the nanoparticle configuration could weaken or eliminate the octahedron rotation, we performed first-principles calculations for a single-unit-cell cluster, containing one oxygen octahedron, using a real-space pseudopotential density-functional-theory method (PARSEC). For an electrically isolated cluster, the symmetric nonpolar state is found to be stable. However, if the depolarization field produced by a polar distortion of the cluster is screened, a lower-symmetry polar distorted structure becomes more favorable, so that the cluster can be considered ferroelectric. Our results are consistent with the recent findings regarding the central importance of compensation of the depolarization field in the ferroelectricity of perovskite oxide thin films and nanostructures. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S20.00012: A single electric relaxation time in $Ba_{1-x}Sr_{x}TiO_{3}$ nanoparticles at low temperatures Liyuan Zhang, Jun Zhou, Zhonglin Wang, Dragomir Davidovic It is shown that the dielectric response of $Ba_{0.77}Sr_{0.23}TiO_{3}$ nanoparticles at temperatures below 200K has a frequency and temperature dependence in agreement with the Debye theory with a single relaxation time, which exhibits the Arrhenius law. By contrast, at temperature above 210K the dielectric response exhibits broad range of relaxation times characteristic of relaxor-ferroelectrics. We suggest that the single relaxation time at low temperature indicates frustrated ferroelectricity, analogous to frustrated antiferromagnetism. [Preview Abstract] |
Wednesday, March 7, 2007 5:18PM - 5:30PM |
S20.00013: Size Effect on Ferroelectric Transitions in Nanograin Barium Titanate Polycrystals I-Wei Chen, Yudi Wang, Tieyu Sun, Xiaohui Wang Data of dielectric constants and polarization of $<$100 nm BaTiO$_{3}$ are now available which allows a definitive assessment of the origin of the size effect in these ceramics of multiple polarization transitions. There are three effects to be considered. First, when the grain size is below 500 nm, the ferroelectric transition is not accompanied by the formation of multiple domain walls, implicating a large residual stress that causes an increase in the temperatures of subsequent tetragonal/orthorhombic and orthorhombic/rhombohedral transitions. Second, when the grain size of BaTiO$_{3}$ is below 50 nm, screening of grain boundary charge due to defect segregation is ineffective, implicating a large internal field even above T$_{c}$. Such a field shifts the temperatures of different transitions differently, favoring the phase of a larger polarization. Third, the dead layer at the grain boundary that clamps the polarization is significant when the grain size decreases to a few nm. It uniformly lowers all the transitions by the same temperature. These effects on transition temperatures and dielectric constant will be compared with the experimental data to assess their relative importance. [Preview Abstract] |
Session S21: Physics Education and Outreach
Sponsoring Units: FEdChair: Margaret McMahan, Lawrence Berkeley National Laboratory
Room: Colorado Convention Center 106
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S21.00001: PhysicsQuest: Sparking Middle School Interest in Physics Jessica Clark, Kendra Rand The National Academies' ``Rising above the Gathering Storm''\footnote{ More details can be found at http://www.nap.edu/catalog/11463.html/toc.} report names the improvement of K-12 science and mathematics education as its highest priority recommendation. This recommendation includes enlarging the pipeline of students preparing to study STEM subjects at university by increasing the number of students who take advanced high school level science courses. To this end, the American Physical Society's Public Outreach department offers PhysicsQuest, a free program designed to engage middle school science students in a learning adventure. The core idea of the program is to provide a fun and exciting way for students to encounter physics, thereby eliminating some of the fear often associated with the subject and making them more likely to take high school physics courses. In the end, the students do learn some physics, but, more importantly, they have a fun experience with physics. This talk further describes the PhysicsQuest program, including feedback and results from the 2005 project and initial data from the 2006 project. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S21.00002: Adopt-a-Physicist: Connecting Physicists with High School Students Kendra Rand, Jessica Clark We often tell students that they can do anything with a physics education, but when students are asked to draw a picture of a scientist they frequently draw Einstein-looking figures working alone in a lab. Many students - and even physics teachers - are unsure about exactly what it is that physicists do and where they work. In order to expose high school students to the variety of careers available to people that study physics and to combat misconceptions about what physicists ``look like,'' the American Physical Society Public Outreach team has created the Adopt-a-Physicist program. Through this program high school physics students find out first-hand about the careers, educational backgrounds, and lives of physics graduates (defined as having bachelor's degrees or higher). Each class can ``adopt'' up to three physicists by registering for their online discussion forums hosted by compadre.org. Classes can view profiles of all of the registered physicists and choose those whose interests align with theirs. Students are free to ask their physicists anything, within good taste, during the three-week period when the discussion forums are active. Results and outcomes from the preliminary sessions will be discussed in this talk. More details are available at \underline {http://www.adoptaphysicist.org} . [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S21.00003: Curriculum that incorporates good physics and good math -- AT THE SAME TIME! Derek Weisel Anyone with experience in physics education knows there is considerable consternation about how much trouble students can have during their first experience with physics. It is a common opinion that many students struggle in physics because of a weak math background. Recent research has shown that this is not always the case. Many students who have shown a tested proficiency in mathematics still struggle in physics. It is an important question to ask how a student who excels in mathematics can still struggle in physics. If this question can be answered it may open up new methods of instruction to aid all students. After discussion of this question, examples of curriculum that simultaneously meet common standards of physics and common standards of math will be shown. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S21.00004: Preparing graduate students to be educators Edward Price, Noah Finkelstein We present two programs that address needs to better prepare graduate students for their roles as professional physicists, particularly in the areas of teaching and education research. The two programs, Preparing Future Physicists (PFP) and a course, Teaching and Learning Physics, are designed to be mutually supportive, address these broader graduate roles, and support the development of the field of physics education research. While voluntary, PFP has attracted the participation of roughly half the physics graduate students at each of two large research institutions. Compared to the national rate, these students are roughly twice as likely to report an interest in pursuing future roles as educators. While less than one in five of participants surveyed reported education being valued by the research community in physics, more than 90\% reported intentions to incorporate the results of research in physics education in their future teaching. Experience with the synergistic program, Teaching and Learning Physics, demonstrates that it is possible to replicate earlier successes of the program initiated at a different institution, including increasing student mastery of physics, developing student interest in education and teaching, and engaging students in research projects in physics education. In addition to introducing these programs, we identify some of the critical features that contribute to their successes. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S21.00005: Who Benefits from PER?: PER as a component of teacher preparation Brian Pyper At BYU-I, we have been able to provide authentic PER experiences for about a half-dozen undergraduate pre-service science teachers. Although some interesting experiments have come out of this research group, perhaps of more interest is the impact these experiences have had on the preparation of these students anticipating careers in public education. This presentation will focus on discussing the initially very positive results of this experience and future plans for the possibility of providing this experience for as many of our pre-service teacher candidates as possible. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S21.00006: Teaching Interventions to Increase Outside Class Study Hours of Non-Science Majors Taking Physical Science Courses Liang Zeng, G. Herold Poelzer, Matthew Cowan This quasi-experimental study, conducted in the Physics and Geology Department at a predominately Hispanic university in South Texas, measures the change in average outside-class-study- hours per week of non-science majors taking physical science courses. The experimental group received four teaching interventions that were implemented to motivate these students to increase their study hours: Frequent oral encouragement, the Study Hour Formula sheet, the Weekly Priority Task list, and The Attributional Rating Form for Test Scores that was handed to students after each of four regular physical science tests. Both the experimental and comparison groups kept detailed logs of their outside-class-study-hour sessions, handed in to the instructor on a weekly basis. A pretest was administered to both groups at the beginning of the semester, and the same test will be administered at the end of the semester. A Multivariate Analysis of Variance is used to determine whether the increase in achievement between the pretest and posttest was greater for the experimental group. In addition, trends of individual weekly outside-class-study- hours per week and quality of study hours were examined in relation to improvement in test scores throughout the semester. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S21.00007: Correlating students' beliefs about physics with learning, retention, and recruitment Katherine K. Perkins, Wendy K. Adams, Mindy Gratny, Steven J. Pollock, Carl E. Wieman We have developed and used a new survey instrument -- the Colorado Learning Attitudes about Science Survey (CLASS)$^{1}$-- to extensively study the importance of students' beliefs about physics and about learning physics to physics education. Since Fall 2003, we have surveyed over 10000 students in 50 physics courses ranging from courses for non-science majors to graduate courses in physics. In this talk, we will present the important, and sometimes surprising, results emerging from this study regarding the relationships between students' beliefs about physics and learning physics and: their conceptual learning, their interest in and pursuit of science study, and classroom teaching practices$^{2}$. 1. W.K. Adams, K.K. Perkins, N. Podolefsky, M. Dubson, N.D. Finkelstein and C.E. Wieman, ``A new instrument for measuring student beliefs about physics and learning physics: the Colorado Learning Attitudes about Science Survey'', Phys. Rev ST: Phys. Educ. Res. 2, 1, 010101 (2006). 2. See \underline {http://per.colorado.edu} for relevant papers. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S21.00008: Do Students Know What Physicists Think About Physics? Kara E. Gray, Wendy K. Adams, Carl E. Wieman, Katherine K. Perkins The Colorado Learning Attitudes about Science Survey (CLASS)$^{1,2}$ is a 42 statement questionnaire designed to elicit student beliefs about physics. Previous results from the survey have shown that students do not agree with the attitudes of physicists and that their opinions do not change (or more often move farther away from the altitudes of physicists) after a semester of instruction. These results have raised the question of do students even know what physicists believe about how to learn physics. In this study, students were asked to choose their opinion (from a five-point Likert scale) and their opinion of what a physicist would believe. Students from three introductory physics classes (spanning engineers, pre-meds, and non-science majors) were surveyed. Results on student opinions of physicists' beliefs will be compared to their personal beliefs, and to the beliefs of physicists. 1. W.K. Adams, K.K. Perkins, N. Podolefsky, M. Dubson, N.D. Finkelstein and C.E. Wieman, ``A new instrument for measuring student beliefs about physics and learning physics: the Colorado Learning Attitudes about Science Survey'', Phys. Rev ST: Phys. Educ. Res. 2, 1, 010101 (2006). 2. See \underline {http://per.colorado.edu} for relevant papers. [Preview Abstract] |
Session S22: Nonlinear Dynamics and Applications
Sponsoring Units: GSNPChair: Alfred Hubler, University of Illinois at Urbana-Champaign
Room: Colorado Convention Center 108
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S22.00001: Stochastic ``Time'' Toru Ohira We present a simple dynamical model which uses ``non-locality'' and ``noise'' on time axis. The model is a delayed dynamical map model with a stochasticity on the variable corresponding to ``time'' steps. The analogy is made with a tape recorder whose recording devise can move back on a tape as it records the values of the dynamical variable. With a tuned probability of ``moving backward'' with a given delay, the dynamics of the model shows an oscillatory behavior, similar to the one found in the models of stochastic resonance. We discuss implication of this model and whether it provides any reasonable approach to considering ``non-locality'' and ``noise'' on time axis. \newline \newline References: \newline [1] T. Ohira, ArXive: cond-mat/0607544 (To appear in the AIP conf. proc. of the 8th Int. Symp. on Frontiers of Fundamental Physics, Madrid, Spain, October 17-19 2006.) \newline [2] T. Ohira, ArXive: cond-mat/0610032 (To appear in the AIP conf. proc. of the 9th Granada Seminar, Granada, Spain, September 11-15, 2006.) \newline [3] T. Ohira and Y. Sato, PRL 82, 2811 (1999). [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S22.00002: Towards a RMT Scattering-matrix with universal frequency correlations Richard L. Weaver We concern ourselves with the prediction of mesoscopic wave phenomena from statistical knowledge of classical trajectories. A diffusing particle picture for the flow of mean probability in chaotic systems is used to estimate dynamical features of mean square time-domain S-matrices for waves coupled in and out through one perfectly open channel. The additional constraint of unitarity and minimum phase, then leads to a unique and plausible S-matrix that exhibits familiar mesoscopic wave dynamics. These include enhanced backscatter, quantum echo, power law tails, level repulsion and spectral rigidity. We conjecture that a generalization to n x n S matrices would exhibit behavior identical to that of the GOE or GUE depending on its symmetries. [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S22.00003: Synthesizing Chaos Jonathan Blakely, Ned Corron, Scott Hayes, Shawn Pethel Chaos is usually attributed only to nonlinear systems. Yet it was recently shown that chaotic waveforms can be synthesized by linear superposition of randomly polarized basis functions. The basis function contains a growing oscillation that terminates in a large pulse. We show that this function is easily realized when viewed backward in time as a pulse followed by ringing decay. Consequently, a linear filter driven by random pulses outputs a waveform that, when viewed backward in time, exhibits essential qualities of chaos, i.e. determinism and a positive Lyapunov exponent. This phenomenon suggests that chaos may be connected to physical theories whose framework is not that of a deterministic dynamical system. We demonstrate that synthesizing chaos requires a balance between the topological entropy of the random source and the dissipation in the filter. Surprisingly, using different encodings of the random source, the same filter can produce both Lorenz-like and R\"{o}ssler-like waveforms. The different encodings can be viewed as grammar restrictions on a more general encoding that produces a chaotic superset encompassing the Lorenz and R\"{o}ssler paradigms of nonlinear dynamics. Thus, the language of deterministic chaos provides a useful description for a class of signals not generated by a deterministic system. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S22.00004: Eigenvalues of the time evolution operator governing nuclear spin behavior in solids Steven W. Morgan, Brian Saam The decay of nuclear magnetic resonance (NMR) signals in solids is an extremely difficult many-body problem with no complete solution. Utilizing frozen xenon polarized by spin-exchange optical pumping, we have observed the long-time behavior of the transverse NMR signal for both free-induction decay and spin (solid) echoes. The hyperpolarized signal can be observed for up to $\sim $10 decay constants, allowing us to characterize the long-time behavior, which is predicted to have one of two forms: $S(t)\sim e^{-\gamma t}$ or $S(t)\sim e^{-\gamma t}\cos (\omega t+\phi )$, where the constants $\omega $ and $\gamma $ are the same for the FID as for the solid echo. Our data agree well with this prediction, which follows from considering the evolution of the density matrix under the action of its time evolution operator, with the corresponding eigenvalues determining the evolution of the spin system.* Not only is this decay an example of Markovian behavior on non-Markovian timescales but these eigenvalues should be a deep fundamental property of many-body quantum systems. The eigenvalues are also expected to be analogous to Pollicott-Ruelle resonances in classical chaotic systems. *B.V. Fine, Phys. Rev. Lett. 94, 247601 (2005) . [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S22.00005: ABSTRACT WITHDRAWN |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S22.00006: Bayesian Inference and the Symbolic Dynamics of Deterministic Chaos Christopher C. Strelioff, James Crutchfield, Alfred Hubler Symbolic dynamics has proven to be an invaluable tool in analyzing the mechanisms that lead to unpredictability and random behavior in nonlinear dynamical systems. Surprisingly, a discrete partition of continuous state space can produce a coarse-grained description of the behavior that accurately describes the invariant properties of an underlying chaotic attractor. In particular, measures of the rate of information production--the topological and metric entropy rates--can be estimated from the outputs of Markov or generating partitions. Here we develop Bayesian inference for k-th order Markov chains as a method for finding generating partitions and estimating entropy rates from finite samples of discretized data produced by coarse-grained dynamical systems. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S22.00007: Nonlinear Dynamics of Nanomechanical Resonators Subramanian Ramakrishnan, Yuiry Gulak, Bala Sundaram, Haym Benaroya Nanoelectromechanical systems (NEMS) offer great promise for many applications including motion and mass sensing. Recent experimental results suggest the importance of nonlinear effects in NEMS, an issue which has not been addressed fully in theory. We report on a nonlinear extension of a recent analytical model by Armour et al [1] for the dynamics of a single-electron transistor (SET) coupled to a nanomechanical resonator. We consider the nonlinear resonator motion in both (a) the Duffing and (b) nonlinear pendulum regimes. The corresponding master equations are derived and solved numerically and we consider moment approximations as well. In the Duffing case with hardening stiffness, we observe that the resonator is damped by the SET at a significantly higher rate. In the cases of softening stiffness and the pendulum, there exist regimes where the SET adds energy to the resonator. To our knowledge, this is the first instance of a single model displaying both negative and positive resonator damping in different dynamical regimes. The implications of the results for SET sensitivity as well as for, as yet unexplained, experimental results will be discussed. 1. Armour et al. Phys.Rev.B (69) 125313 (2004). [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S22.00008: Interference of fractals - a method to control the deterministic stochastic multiresonance Slawomir Matyjaskiewicz We present a new method to control the deterministic stochastic multiresonance in dynamical systems, which can be considered as a threshold-crossing systems, in the vicinity of chaotic crises. As an example we choose a two-dimensional chaotic map, where the threshold-crossing probability follows the overlap of the fractal structures of chaotic saddles and the basins of escape. Using a small periodic perturbation we induce interference like behaviour in fractal structure leading to significant changes of the information transmission through the system. The analytical theory based on topological model is in a reasonable agreement with the numerical results for mutual information between the input and output signal. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S22.00009: Arnold Tongue Mixed Reality States in an Interreality System Vadas Gintautas, Alfred Hubler We present experimental data on the limiting behavior of an interreality system comprising a virtual horizontally driven pendulum coupled to its real-world counterpart, where the interaction time scale is much shorter than the time scale of the dynamical system. We present experimental evidence that if the physical parameters of the virtual system match those of the real system within a certain tolerance, there is a transition from an uncorrelated dual reality state to a mixed reality state of the system in which the motion of the two pendula is highly correlated. The region in parameter space for stable solutions has an Arnold tongue structure for both the experimental data and for a numerical simulation. As virtual systems better approximate real ones, even weak coupling in other interreality systems may produce sudden changes to mixed reality states. This work was supported by the National Science Foundation Grant No. NSF PHY 01-40179, NSF DMS 03-25939 ITR, and NSF DGE 03-38215. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S22.00010: Complex Dynamics in Systems of Interacting Bosons Moritz Hiller, Joshua Bodyfelt, Tsampikos Kottos, Theo Geisel We consider interacting bosons described by a Bose-Hubbard Hamiltonian (BHH) and analyze the evolving energy distribution as an experimentally controllable parameter, the coupling strength k between neighboring sites, is changed. Three driving schemes of k are considered: (a) the sudden limit (LDoS analysis), (b) the one-pulse scheme (wavepacket dynamics), and (c) the time-reversal scheme (fidelity). We find in all cases two distinct regimes: the Linear Response (LRT) regime where we can trust the Fermi-Golden-Rule picture, and what we call the non-perturbative regime where the perturbation k is quantum mechanically large. In the former regime, the evolving distribution can be described by an improved Random Matrix Theory (RMT) which takes into accont the structured energy landscape of the perturbation. Instead, in the latter regime, non-universal features of the underlying classical dynamics dictate the energy spreading thus leading to a clash with the predictions of RMT. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S22.00011: Synchronization in a chain of nearest neighbors coupled oscillators with fixed ends Yinka Fuwape A system of coupled phase oscillators with nearest neighbors coupling in a chain with fixed ends is investigated. The system synchronizes to a common value of the time averaged frequency which depends on the initial phases of the oscillators at the ends of the chain. The time-averaged frequency decays as the coupling strength increases .Near the transition to the frozen state, the time-averaged frequency has a power law behavior as a function of the coupling strength with synchronized time averaged frequency equal to zero. Associated with this power law, there is an increase in phases of each oscillator with specific jumps with a scaling law of the elapsed time between the jumps. During the interval between the full frequency synchronization and the transition to the frozen state, the maximum Lyapunov exponent indicates quasiperiodicity. Time series analysis of the oscillators frequency shows this quasiperiodicity as the coupling strength increases. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S22.00012: Stationary and traveling solitons in one-dimensional quartic lattices Sanghamitra Neogi, Gerald D. Mahan We discuss the solutions to classical vibrations of a monatomic one-dimensional lattice. The interaction potential between the nearest neighbor atoms in the lattice contains nonlinear quartic terms. We found a total of $N$ normal modes, that are symmetric and antisymmetric with respect to the center of the chain consisting of $N$ atoms. Also, there exist stationary soliton solutions that are neither symmetric nor antisymmetric suggesting the total number of solutions exceeds the number of atoms in the chain. We generated traveling solitons by giving an impulse to the atoms at the end of the chain which has free ends. However, if the end of the chain is bound to a wall, we could not find any solitary waves to sustain more than few atoms. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S22.00013: Universality of Synchrony Kevin Wood, Christian Van den Broeck, Ryoichi Kawai, Katja Lindenberg We present a discrete model of stochastic, phase-coupled oscillators that is sufficiently simple to be characterized in complete detail, lending insight into the universal critical behavior of the corresponding nonequilibrium phase transition to macroscopic synchrony. In the mean-field limit, the model exhibits a supercritical Hopf bifurcation and global oscillatory behavior as coupling eclipses a critical value. The simplicity of our model allows us to perform the first detailed characterization of stochastic phase coupled oscillators in the locally coupled regime, where the model undergoes a continuous phase transition which remarkably displays signatures of the XY equilibrium universality class, verifying the analytical predictions of Risler et al (1). Finally, we study the model under the influence of spatial disorder and provide analytical and numerical evidence that such disorder does not destroy the capacity for synchronization. 1. T. Risler, J. Prost, F. Julicher. Phys Rev. Letters, 93 (17), (2004); Phys Rev E, 72, 016130 (2005). [Preview Abstract] |
Session S23: High Pressure V
Sponsoring Units: DCMPChair: Joel Kresse, Los Alamos National Laboratory
Room: Colorado Convention Center 110
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S23.00001: Quantum Monte Carlo determination of the equation of state of cubic boron nitride K.P. Esler, B. Militzer, R.E. Cohen The pressure inside a diamond anvil cell is usually determined by measuring the pressure-dependent frequency shift of a small ruby sample or by x-ray diffraction on a small chip of a pressure standard. However, there are no primary pressure standards for the megabar range. Recently, cubic boron nitride (cBN) has been suggested as an accurate pressure gauge.$^1$ Unlike ruby, its structure is highly constrained by symmetry and stable beyond 100 GPa, and it has a well-separated Raman spectrum with sufficient pressure dependence to allow accurate pressure calibration. Its use as a pressure standard requires reliable equation of state (EOS) data. A density functional theory (DFT) calculation of the cBN EOS based on the generalized gradient approximation agrees well with experiment up to 100 GPa, but a calculation that does not depend on an approximate exchange-correlation functional would be desirable. Quantum Monte Carlo (QMC) is a correlated, first-principles simulation method with fewer uncontrolled approximations than DFT methods. We present the results of applying state-of-the-art QMC methods to the bulk cBN solid in order to determine the EOS. \\ \\ \hspace{0.3cm} \mbox{}$^1$ A.F. Goncharov et al., Phys. Rev. B {\bf 72}, 100104R (2005). [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S23.00002: First-principles study of cubic BC6N: Structural forms and ideal strength Yi Zhang, Hong Sun, Changfeng Chen We present first-principles calculations on the structural forms and ideal strength of cubic BC6N. The calculated ideal tensile and shear strength are lower or comparable to those of c-BN. Our results show that increasing carbon content does not lead to significant enhancement of the idea strength as expected by the conventional wisdom. It can be attributed to the weak C-N bonds that impose a limit on the idea strength. [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S23.00003: Towards a mulitphase equation of state of Carbon from first principles Alfredo Correa, Lorin Benedict, Eric Schwegler Ab initio molecular dynamics and electronic structure calculation had become one of the most useful tools to investigate properties of materials. Unfortunately these atomistic detailed results are rarely reused in calculations at a higher level of description, such as fluid dynamics and finite elements calculations. In this talk we present a concrete example showing the way that first principles results can be expressed in a way that is useful for hydrodynamics calculations, in particular we show how to build a analytic equation of state for Carbon that involves solid (diamond and BC8) and liquid phases. Applications of this newly obtained equation of state will be presented. This work was performed under the auspices of the U.S. Dept. of Energy at the University of California/Lawrence Livermore National Laboratory under contract no. W-7405-Eng-48. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S23.00004: VLab: A Collaborative Grid/Portal System for Computations of Materials Properties at High Pressures and Temperatures Pedro da Silveira, Cesar R. S. da Silva, Renata M. Wentzcovitch We describe the development of a collaborative service-oriented architecture, the VLab, which handles from a single workflow the concurrent and distributed execution of multiple tasks involved in complex sequences of first principles calculations of materials properties at high pressures and temperatures. We demonstrate the usefulness of this system through a consolidated portal interface. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S23.00005: Shock-wave propagation in carbon nanotube reinforced a-SiC composites Deepak Srivastava, Maxim Makeev We have performed state-of-the-art large-scale molecular dynamics simulation study of shock-wave propagation in amorphous silicon carbide (a-SiC) and carbon nanotube (CNT) reinforced a-SiC composites. The materials response, shock-wave structure, damage evolution and properties in shock-loaded CNT/a-SiC composites are found to differ significantly from the similar shock wave propagation in pristine a-SiC sample. The effects of CNTs on the shock-wave velocity and profile are investigated and analyzed in detail. In all the considered cases, a significant densification is observed in the shock-loaded regions. In the case of CNTs aligned perpendicular to the impact direction, the shock-wave causes CNTs to collapse, while in the case of CNTs oriented parallel to the impact direction the structure of the CNTs is preserved and a channeling of the resulting compressive wave is observed which leads to material sputtering at the bottom surface. The micro-structural changes in the after shock region will be discussed in this presentation. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S23.00006: Molecular Dynamics Simulations of Hypervelocity Impacts Eli T. Owens, Martina E. Bachlechner Outer space silicon solar cells are exposed to impacts with micro meteors that can destroy the surface leading to device failure. A protective coating of silicon nitride will protect against such failure. Large-scale molecular dynamics simulations are used to study how silicon/silicon nitride fails due to hypervelocity impacts. Three impactors made of silicon nitride are studied. Their cross-sectional areas, relative to the target, are as follows: the same as the target, half of the target, and a quarter of the target. Impactor speeds from 5 to 11 km/second yield several modes of failure, such as deformation of the target by the impactor and delimitation of the silicon nitride from the silicon at the interface. These simulations will give a much clearer picture of how solar cells composed of a silicon/silicon nitride interface will respond to impacts in outer space. This will ultimately lead to improved devices with longer life spans. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S23.00007: The generation of dissipative structures in solids at high pressures Roger W. Minich, Daniel Orlikowski, Jeff H. Nguyen The recent ability to tailor pressure drives up to and exceeding a Mbar has led to the ability to study the response of materials along different thermodynamic paths that may be significantly different from a Hugoniot. Observations of recent data suggest that a ramped pressure drive generates coherent structures that behave like solitons in the weakly dissipative limit, but later form kinks which localize the dissipation and coalesce into ever increasing larger kinks in time. The experimental observations are discussed in the context of the KdV-Burgers equation and a universal scaling law is proposed in the limit of high dissipation. This work was performed under the auspices of the U.S. Department of Energy by the University of California Lawrence Livermore National Laboratory under contract W-7405-Eng-48. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S23.00008: Large scale MD Simulations of the time-resolved optical properties of warm dense metals S. Mazevet, J. Clerouin, L. Soulard Recent experiments on gold suggest that the electrical and optical properties of metals in the warm dense matter regime can be accessed by performing time-resolved measurements after the illumination of a metallic thin film by a short-pulse laser[1]. The non-equilibrium situation created in this experimental setup poses new challenges to simulation methods as the time evolution of the atomic structure needs to be followed as the metal evolves from a solid to a plasma state. We used a combination of {\sl ab-initio} and large scale molecular dynamics simulations to calculate the evolution of the atomic structure, and the electrical conductivity of various metals during the first pico-seconds after a short-pulse laser illumination. \newline \newline [1] K. Widmann, T. Ao, M.E. Foord, D.F. Price, A.D. Ellis, P.T. Springer,and A. Ng, Phys. Rev. Lett. {\bf 92}, 125002 (2004). [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S23.00009: Dynamics of ultracold neutral plasma Lee Collins, Byoungseon Jeon, Joel Kress, Niels Gronbech-Jensen For an ultracold neutral plasma produced by photoionzation of laser-cooled heavy particles, initial expansion behavior was studied with classical molecular dynamics. To investigate huge particle sets under open boundary condition, the TREE method has been implemented and Rydberg states of low quantum number were studied. We also examined the degree of ion correlation. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S23.00010: Hot and Cold Ionization of Strongly Coupled Plasmas Generated by Intense Shock Waves Vladimir Fortov The physical properties of strongly coupled plasmas at high pressures and energy densities are analyzed in a broad region of parameters. The theoretical and experimental methods of non-ideal plasma investigations are discussed. Main attention is paid to the dynamical methods. Intense shock, rarefaction, and radiative waves in solid and porous samples, and electrical explosion were used for generation of high density plasmas at extremely high pressure. The pressure ionization plasma phenomena in hydrogen, helium, noble gases, iodine, silica, sulfur, H2O, fullerenes and some metals are analyzed on the base of multiple shock wave experiments. The data obtained were described by the non-ideal plasma model taking into account increase of charge carrier number as a result of ``temperature'' and ``pressure'' ionization. In contrast to these experiments the multiple shock compression of solid Li, Ca and Na shows strong modification of electron plasma energy spectrum and as a result of that - dielectrization of these elements at megabars. The ``plasma'' phase transition phenomena are analyzed on the base of shock experiments and quantum Monte-Carlo simulations. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S23.00011: Relaxation of laser-induced two component plasma Byoungseon Jeon, Lee Collins, Joel Kress, Niels Gronbech-Jensen In inertial-confined fusion plasmas, the ions and electrons can exist in a non-equilibrium state. Using classical molecular dynamics, we have studied a two-temperature plasma under extreme conditions and determined system properties. The temperature relaxation rate and diffusion coefficients of each species were found, and the results were compared with the Spitzer and other relaxation formulae. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S23.00012: First Principles Molecular Dynamics Simulations of Diopside Liquid at High Pressure Ni Sun, Lars Stixrude, Bijaya Karki Diopside (CaMgSi$_{2}$O$_{6})$ is a major component of basalt; the high-pressure end members, Mg-perovskite (MgSiO$_{3})$ and Ca-perovskite (CaSiO$_{3})$, make up more than 80 {\%} of the lower mantle. Despite its importance, most studies of diopside liquid have been performed at relatively low pressures and temperatures. In this study, we investigated CaMgSi$_{2}$O$_{6}$ liquid at lower mantle conditions by first principles molecular dynamics (FPMD) simulations based on density functional theory. The average Si-O coordination number increases nearly linearly from 4 to 6 with two-fold compression. The structure shows evidence of incipient exsolution with non-random clustering of Mg and Ca ions. Our results are well fitted by Mie-Gr\"{u}neisen equation of state with a Gr\"{u}neisen parameter that increases on compression. The variation of the diffusion coefficient with pressure and temperature is captured by the Arrhenius relation with activation energy and volume E* = 1.2 eV and V* = 1.25 {\AA}$^{3}$. The electronic properties of the CaMgSi$_{2}$O$_{6}$ liquid phase are similar as those of the MgSiO$_{3}$ liquid: there is no band gap and an extra peak appears at the Fermi level at low pressure. [Preview Abstract] |
Session S24: Focus Session: Interaction of Polymers with Biological Structures
Sponsoring Units: DPOLY DBPChair: R. Kannan, Wayne State University
Room: Colorado Convention Center 201
Wednesday, March 7, 2007 2:30PM - 3:06PM |
S24.00001: Theoretical and Numerical Modeling of faceted Ionic crystalline vesicles Invited Speaker: Icosahedral shape is found in several natural structures including large viruses, large fullerenes and cationic-anionic vesicles. Faceting into icosahedral shape can occur in large crystalline membranes via elasticity theory. Icosahedral symmetry is found in small systems of particles with short-range interactions on a sphere. Dr G. Vernizzi and I show a novel electrostatic-driven mechanism of ionic crystalline shells faceting into icosahedral shapes even for systems with a small number of particles. Icosahedral shape is possible in cationic and anionic molecules adsorbed onto spherical interfaces, such as emulsions or other immiscible liquid droplets because the large concentration of charges at the interface can lead to ionic crystals on the curved interface. Such self-organized ionic structures favors the formation of flat surfaces. We find that these ionic crystalline shells can have lower energy when faceted into icosahedra along particular directions. Indeed, the ``ionic'' buckling is driven by preferred bending directions of the planar ionic structure, along which is more likely for the icosahedral shape to develop an edge. Since only certain orientations are allowed, rotational symmetry is broken. One can hope to exploit this mechanism to generate functional materials where, for instance, proteins with specific charge groups can orient at specific directions along an icosahedral cationic-anionic vesicle. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S24.00002: Microchannels with adhesive posts trap cells with specific mechanical properties Guangdong Zhu, Alexander Alexeev, Anna Balazs In order to perform various biological assays and tissue engineering studies, there is a critical need for microfluidic devices that can be used to trap cells with specific mechanical properties. Here, we model cells as fluid filled elastic shells, which also represent polymeric microcapsules. Using a combined approach based on lattice Boltzmann and lattice spring models, we study the motion of cells within a channel with two adhesive posts on the opposite walls. The distance between the posts is comparable to the diameter of the cell. The cells are driven to move through the channel by an imposed pressure gradient. We probe the effect of post compliance and the adhesion strength on the dynamics of the cells. We isolate the conditions at which all cells with shell stiffness lying within a specified range can be trapped in between the posts. Thus, our study can facilitate the design of simple and robust devices for analyzing mechanical properties of biological cells and synthetic microcapsules. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S24.00003: Biomimetic Micellar Networks John Zupancich, Marc Hillmyer, Frank Bates The self-assembly of amphiphilic block copolymers in dilute aqueous solution has been used to prepare structural analogues of fibrous materials common in physiology. The dependence of aggregate structure on amphiphile composition has been documented for a number of polymeric systems and by controlling the relative extent of hydrophilicity to hydrophobicity, block copolymers can be designed to target specific morphologies. Cell interactions with self-assembled structures can be promoted through conjugation of peptides or other targeting moieties to the constituent amphiphiles. The covalent attachment of RDG-containing peptides to the hydrophilic terminus of poly(ethylene oxide)-b-polybutadiene and the dilute solution behavior of these modified polymeric amphiphiles has been studied. An overall amphiphile composition conducive to worm-like micelle formation was targeted, and cross-linking of the hydrophobic core of these aggregate structures resulted in solution properties akin to fibrillar collagen gels. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S24.00004: Post-Functionalized Polymer Brushes for Bio-Separation: Tuning GFP Adsorption via Functional Group Display Steve Diamanti, Shafi Arifuzzaman, Jan Genzer, Rajesh Naik, Richard Vaia An inexpensive and robust biosensor platform that can be tuned to separate and/or detect complex mixtures of biomolecules while minimizing reagents would be of great use for military, homeland security, and medical diagnostic applications. Gradient surfaces of poly(2-hydroxyethyl methacrylate) (PHEMA) brushes have been previously shown to spatially localize biomolecule binding, while minimizing non-specific adsorption of the same biomolecule on other regions of the gradient specimen. In order to further improve the specificity and to provide latent functionality for detection of the binding events, post-polymerization modification of PHEMA with various functional groups has been investigated. Using standard succinimide-based coupling, hydroxyl pendants of PHEMA brushes were conjugated to oligo-peptides, alkanes and oligo(ethylene glycol) (OEG) through an alpha-terminus primary amine. Ellipsometry, contact angle, XPS and ER-FTIR spectroscopy indicated that coupling occurred with efficiencies ranging from 10-40{\%}. Post-functionalization of PHEMA with OEG and hexadecane allows manipulation of the hydrophilicity of the surface and thus tuning of Green Fluorescent Protein (GFP) binding. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S24.00005: Structure and dynamics of water near the interface with oligo(ethylene oxide) self-assembled monolayers Ahmed E. Ismail, Gary S. Grest, Mark J. Stevens Oligo(ethylene oxide) self-assembled monolayers (OEO SAM's) deposited on Au are the prototypical materials used to study protein resistance. Recently, protein resistance has been shown to vary as a function of surface coverage and to be maximal at about two-thirds coverage, not complete coverage. We use molecular dynamics simulations to study the nature of the interface between water and the OEO SAM for a range of SAM coverages. As SAM coverage decreases, the amount of water within the OEO monolayer increases monotonically; however, the penetration depth of the water shows a maximum near the experimentally-found maximal coverage. As the water content increases, the SAM-water mixture becomes harder to distinguish from bulk water. Since the oxygen atoms of OEO are hydrogen bond acceptors, a hydrogen bond network forms within the SAM-water mixture. The water molecules diffuse freely within the monolayer and exchange with the bulk water. Because the monolayer becomes increasingly like bulk water as the coverage decreases, proteins stay in their bulk soluble conformation and do not adsorb. {\em \small{Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy under Contract No. DE-AC04-94AL85000.}} [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S24.00006: Development of novel antibiofouling materials from natural phenol compounds Rahul Chelikani, Dong Shik Kim Biofilms consist of a gelatinous matrix formed on a solid surface by microbial organisms.Biofilm is caused due to the adhesion of microbes to solid surfaces with production of extracellular polymers and the process of the biofilm formation is reffered to as biofouling.Biofouling causes serious problems in chemical, medical and pharmaceutical industries.Although there have been some antibiofouling materials developed over the years,no plausible results have been found yet.Natural polyphenolic compounds like flavanoids,cathechins have strong antioxidant and antimicrobial properties.Recently,apocynin,a phenol derivative,was polymerized to form oligomers,which can regulate intracellular pathways in cancer cells preventing cell proliferation and migration.These natural phenolic compounds have never been applied to solid surfaces to prevent biofouling.It is thought that probably because of the difficulty to crosslink them to form a stable coating.In this study,some novel polyphenolic compounds synthesized using enzymatic technique from cashew nut shell liquid,a cheap and renewable byproduct of the cashew industry are used as coating materials to prevent biofouling.The interaction of these materials with microbes preventing fouling on surfaces and the chemico-physical properties of the materials causing the antibiofouling effect will be discussed.It is critical to understand the antibiofouling mechanism of these materials for better design and application in various fields. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S24.00007: Conformation Distributions in Adsorbed Proteins. Curtis W. Meuse, Joseph B. Hubbard, John S. Vrettos, Jackson R. Smith, Marcus T. Cicerone While the structural basis of protein function is well understood in the biopharmaceutical and biotechnology industries, few methods for the characterization and comparison of protein conformation distributions are available. New methods capable of measuring the stability of protein conformations and the integrity of protein-protein, protein-ligand and protein-surface interactions both in solution and on surfaces are needed to help the development of protein-based products. We are developing infrared spectroscopy methods for the characterization and comparison of molecular conformation distributions in monolayers and in solutions. We have extracted an order parameter describing the orientational and conformational variations of protein functional groups around the average molecular values from a single polarized spectrum. We will discuss the development of these methods and compare them to amide hydrogen/deuterium exchange methods for albumin in solution and on different polymer surfaces to show that our order parameter is related to protein stability. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S24.00008: ABSTRACT WITHDRAWN |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S24.00009: Strain-stiffening response in organogels assembled using steroidal biomolecules Shih-Huang Tung, Srinivasa R. Raghavan The phenomenon of strain-stiffening or strain-hardening refers to an increase in the elastic modulus (stiffness) of a material with increasing strain amplitude. While this response is exhibited by many biological materials, including gels of biopolymers such as actin, it is rarely seen in other types of soft matter. Here, we report strain-stiffening in a new class of self- assembled organogels being studied in our laboratory. These gels are formed in nonpolar organic liquids by combining a lipid (lecithin) or two-tailed surfactant (AOT) with a type of naturally occurring steroidal amphiphile called a bile salt. Based on rheological and scattering data, we deduce that the gel structure comprises a network of semiflexible filaments. Interestingly, gels induced by small organic molecules other than bile salts do not show strain-stiffening. We suggest that the bile salt molecules confer an intrinsic stiffness to the filaments in the gel, which is important for strain-stiffening. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S24.00010: Solvent Viscosity at the Protein Surface Sheila Khodadadi, Marian Paluch, Sebastian Pawlus, Yoshihito Hayashi, Alexei Sokolov Biochemical activity of biological macromolecules depends on solvent's viscosity, $\eta $, at their surface. The latter might differ from the bulk solvent viscosity due to preferential hydration. In order to estimate $\eta $ at the protein surface, we studied dielectric relaxation spectra of lysozyme-water-glycerol mixtures. Additional relaxation process that appears in the presence of proteins has been assigned to their rotation. Employing Debye-Stokes-Einstein relationship [$\tau _{R}$ = (4$\pi $R$_{R}^{3}\eta $/KT)],$^{ }$and assuming that hydrodynamics radius of protein, R$_{R}$, does not change, we estimated $\eta $ at the protein surface. Analysis of the obtained results indeed reveals a significant difference between bulk solvent's viscosity and the viscosity experienced by a protein. The water concentration appears to be significantly enhanced at the protein surface, in agreement with earlier thermodynamics study. Using the viscosity data, we estimate solvent composition at the protein surface.$^{ }$We expect that the developed approach will help to unravel the role of the solvent and its viscosity in dynamics, stability and biochemical activity of proteins. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S24.00011: Correlation of chitosan's rheological properties to its ability to electrospin Wendy E. Krause, Hailey A. Queen, Rebecca R. Klossner, Andrew J. Coughlin Chitosan, derived from chitin found in the exoskeleton of crustaceans, has been investigated extensively for use in biomedical applications ranging from drug delivery to scaffolds for tissue engineering. Therefore, forming nanofibers of this linear polysaccharide is desirable for use in such applications, because the nanofibers can be tailored to mimic the size and porosity of the extracellular matrix. Electrostatic spinning (electrospinning) is a convenient method to produce nonwoven mats of nanofibers. The ability of the solutions to successfully electospin is closely correlated with the rheological properties of the solutions. Chitosan is challenging to electrospin due to its relatively high viscosity at modest concentrations. Solutions of chitosan blended with poly(ethylene oxide) (PEO) have been electrospun successfully with freshly prepared solutions. If the blended solutions are stored, they do not readily electrospin. Moreover, chitosan/PEO blend solutions show a drastic decrease in zero shear rate viscosity over time, which can be attributed to phase separation. The challenges associated with electrospinning charged biopolymers (chitosan is cationic) will be discussed in terms of their rheological properties. Successes and failures will be highlighted and compared results for readily electrospun neutral polymers. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S24.00012: Diblock Copolymer as a Surface Delivery Vehicle for DNA Chip Construction Lu Chen, Chris Grigoras, Jeffrey Koberstein, Mong Marma, Zengmin Li, Jingyue Ju A generic DNA sensor is made of a substrate, a coupling layer built on the substrate and the DNA attached to the coupling layer. Previously a DNA chip was constructed using a small molecule bi-functional linker via 1,3-dipolar zaide-alkyne cycloaddition coupling chemistry. The reaction efficiency of the cycloaddition coupling chemistry is high but there are some disadvantages such as low DNA coverage and low mobility of DNA due to the use of the small molecule linker. In this paper, a newly synthesized asymmetric diblock copolymer poly(methyl methacrylate-b-tert butyl acrylate) [poly(MMA-b-tBA)] with alkyne functional groups at the end of tBA block will be used as the coupling layer for the DNA chip construction. As will be shown in this paper, the attached DNA will have more mobility and higher surface coverage because of the use of the alkyne-end functionalized diblock copolymer as the coupling layer. More importantly, the areal density of the DNA molecules can be tuned by the thickness of the film simply made by the spin-coating method. The copolymer thin film was characterized by angle-dependent X-ray photoelectron spectroscopy, ellipsometry measurement and contact angle measurement. The thickness of tBA block was estimated using the substrate-overlayer model of ADXPS. The dye-labeled DNA chemically bonded to the surface was characterized by fluorescence measurement. [Preview Abstract] |
Wednesday, March 7, 2007 5:18PM - 5:30PM |
S24.00013: Effect of copolymer microstructure on single chain collapse Ashok Dasmahapatra, Guruswamy Kumaraswamy, Hemant Nanavati We present dynamic Monte Carlo simulations of the collapse of copolymers containing sticky comonomers, $c$. There is a qualitative difference in the transition depending on $c$ content. For $c$ content $>\sim $ 50{\%}, copolymer collapse is qualitatively similar to that observed for homopolymers, when rescaled to account for comonomer solvophobicity. However, collapse of copolymers with $c \quad < \quad \sim $50{\%} is qualitatively steeper than for homopolymers. We show that the change in the nature of collapse is due to the formation of an intermediate structure after the theta-point. The pathway to collapse is also strongly influenced by the distribution of comonomers along the chain. For uniform copolymer chains (viz. equispaced $c$ units), collapse happens at lower temperatures than random copolymers. Further, uniform copolymers, but not random, appear to collapse cooperatively. Our results have relevance to protein folding where specific amino acid sequences lead to collapse and folding to a unique native structure. [Preview Abstract] |
Session S25: Block Copolymer Thin Films
Sponsoring Units: DPOLYChair: Thomas H. Epps, University of Delaware
Room: Colorado Convention Center 203
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S25.00001: Surfactant Assisted Orientation of PS-b-PMMA Block Copolymer Thin Films Jeong Gon Son, Xavier Bulliard, Huiman Kang, Paul F. Nealey, Kookheon Char Block copolymers are well known to spontaneously form a wide range of nanostructures. In thin films, they are additionally affected by the presence of a substrate and a free surface. This often leads to the morphology oriented in the direction parallel to the substrate. However, for the fabrication of functional nanostructures, the perpendicular orientation is preferred. In this study, we present a new route based on the use of surfactants in order to control the morphology of polystyrene-\textit{block}-poly(methylmethacrylate) (PS-$b$-PMMA) thin films. The addition of surfactants, interacting more favorably with the PMMA domains and modifying the surface and interfacial energy of the system, induces the perpendicular orientation of block copolymer thin film microdomains regardless of the chemistry of the substrate. For the high aspect ratio and the defect-free perpendicular orientation, we combine the surfactant assisted self-assembly with the directed self-assembly on chemically nanopatterned substrates. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S25.00002: Controlled Alignment of Lamellar Phase in Thin Films of a Block Copolymer and a Silica Precursor Mixture H.-C. Kim, C. Rettner, J. Cheng, O.-H. Park, L. Sundstrom Thin films of block copolymers containing self-assembled microdomains have emerged as a promising method for generating patterns of sub-optical lithographic length scales. Of great interest in semiconductor industry is the line pattern from lamellar phase of block copolymers due to their potential application to fabricate devices with length scales much smaller than those that traditional optical lithography can offer. One of the great challenges in evolving the line patterns from block copolymers into a practically viable device fabrication is the control over alignment of the lamellar microdomains on substrates. In this presentation, we report a simple method to create and control the alignment of robust inorganic line patterns of 20nm half-pitch on surfaces. We used a mixture of an organic diblock copolymer (poly(styrene-b-ethylene oxide), PS-b-PEO) and an organosilicate precursor which is selectively miscible with PEO of the block copolymer. Lamellar phase of the mixture was obtained by controlling the mixing composition. We could control the orientation of lamellar microdomains by applying energetically \textit{neutral} interface between thin films and substrate surface. In-plane alignment of the lamellae was achieved by using a topographic prepatterns generated using an E-beam lithography. We report the energetic calculation to cause the alignment of lamellae on surface along with a simple computational simulation [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S25.00003: Defect structures in block copolymer thin films epitaxially assembled on chemically nanopatterned surfaces Sang Ouk Kim, Bong Hoon Kim, Kwanghyon Kim, Mark Stoykovich, Paul Nealey, Harun Solak Epitaxial self-assembly of block copolymer thin film is gathering a lot of attention as a successful strategy for nanofabrication. Chemically nanopatterned surfaces has been applied to guide the self-assembly of block copolymer thin films to form defect-free nanoscale patterns over an arbitrarily large area [S. O. Kim et al. \textit{Nature} \textbf{424}, 411-414, 2003]. The commensurability between the periods of block copolymer nanostructure and surface pattern has been turned out to act crucial role in determining the final nanostructure. Deviation of surface pattern period from the natural period of block copolymer nanostructure led to various types of defects. The defects in the block copolymer thin film self-assembled on the striped nanopattern of neutral/preferential wetting behavior, are presented. When the surface pattern period was slightly smaller, dipole of two edge dislocations with opposite sign appeared as a dominant defect structure. When the surface pattern period was slightly bigger, tilted lamellae appeared. The origin of the defect evolution is discussed [S. O. Kim et al. \textit{Macromolecules} \textbf{39}, 5466-5470, 2006]. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S25.00004: Graphoepitaxy of diblock-copolymers microdomains with chemical patterns Antonio Checco, Benjamin M. Ocko, Matthew Misner, Ji Xu, Thomas P. Russell Topographically patterned substrates have been used in recent years to laterally confine diblock copolymer (DBC) thin films in order to induce long-range lateral order of the DBC microdomain lattice with respect to a macroscopic reference. Here we demonstrate that surfaces with pure chemical patterns can be used to confine laterally diblock copolymers thin films through template-induced dewetting. A thin DBC film (PS-PEO) is spun cast on top of a surface chemically patterned with micron-sized, wettable domains prepared by oxidative nanolithography. Subsequently, annealing is used to direct the dewetting of the thin film into regions which are conformal to the patterns. We investigate the conditions (film thickness, annealing time) necessary to obtain dewetted structures reproducing the pattern shape with a high level of fidelity. In addition, we study the effect of pattern shape and size on the long-range order of DBC microdomains. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S25.00005: The Alignment of Ion-Complexed Symmetric Diblock Copolymer Thin Films under an Electric Field Jia-Yu Wang, Ting Xu, Julie Leiston-Belanger, Suresh Gupta, James Sievert, Thomas Russell In symmetric polystyrene-\textit{block}-poly(methyl methacrylate) (PS-$b$-PMMA) diblock copolymer thin films, lithium ions were successfully introduced to form lithium-PMMA complexes that markedly enhanced the alignment of BCP microdomains under a DC electric field, even adjacent to the two interfaces. The origin of improved alignment arises from the increased dielectric constant difference between the PS and PMMA blocks which reduces the critical field strength required to overcome interfacial interactions of the blocks. Furthermore, \textit{$\chi $} was significantly increased with the formation of the lithium-PMMA complexes, resulting in a transition in the orientation mechanism of the lamellar microdomains from a disruption and re-formation of the microdomains to a grain rotation mediated by movement of defects. The formation of large grains amplifies the ability of the external electric field to overcome preferential interfacial interactions and eliminate defects. Consequently, complete alignment of BCP microdomains can be achieved. By controlling the number of lithium-PMMA complexes, the microdomain alignment can be regulated in PS-$b$-PMMA thin films. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S25.00006: Shear-induced Long Range Order in Diblock Copolymer Thin Films Xuan Ding, Thomas Russell Shear is a well-established means of aligning block copolymer micro-domains in bulk; cylinder-forming block copolymers respond by orienting cylinder axes parallel to the flow direction, and macroscopic specimens with near-single-crystal texture can be obtained. A stepper motor is a brushless, synchronous electric motor that can divide a full rotation into a large number of steps. With the combination of a stepper motor and several gear boxes in our experiment, we can control the rotating resolution to be as small as $1 \times 10^{-4}$ degree/step. Also, with the help of a customized computer program we can control the motor speed in a very systematical way. By changing parameters such as the weight (or the uniform pressure) and the lateral force we can carry on experiment to examine the effect of lateral shear on different polymer systems such as PS-\emph{b}-PEO (large $\chi$) and PS-\emph{b}-P2VP (small $\chi$). [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S25.00007: Control of Ordering Kinetics and Morphology using Zone Annealing of Thin Block Copolymer Films Alamgir Karim, Brian Berry, Ronald Jones A primary limitation of block copolymer (BCP) films as directed templates for advanced lithography or nanoporous membranes for energy storage is the prohibitively long times required for thermally directed self-assembly. We explore the use of zone annealing as a method to control both the kinetics of ordering as well as the resulting morphology in thin block copolymer films. Inspired by earlier results of Hashimoto and co-workers, films are processed by a moving hot-cold temperature gradient zone, hot being below the order-disorder transition temperature but above the glass transition temperature. A significant increase in the ordering kinetics of the BCP was observed, where morphologies characteristic of anneal times approaching a day using isothermal annealing are created in minutes using a moving thermal front. Surface topology persists even when sample velocities are ca. 500 micrometers/second. The mechanisms driving such rapid morphological evolution and the resulting low concentration of defects are explored through a combination of temperature gradients, zone velocity, and surface chemistry. Results from tomographic small angle neutron scattering and scanning probe microscopy demonstrate the relationship of zone velocity and thermal gradient on the formation mechanisms in BCP films. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S25.00008: Orientation of Microdomains of Block Copolymers by Zone casting Chuanbing Tang, Krzysztof Matyjaszewski, Tomasz Kowalewski As a ``bottom up'' method, the self-assembly of block copolymers plays a vital role in the development of soft lithography for the fabrication of microelectronic devices. A variety of methods have been developed toward better and more precise controlled patterns on solid substrates. This presentation will describe a novel solution casting technique, ``zone casting'', to induce orientation of cylindrical and lamellar microphase-separated domains of various block copolymers. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S25.00009: The Effect of Humidity on the Ordering of Triblock Copolymer Thin Films Joona Bang, Bumjoon J. Kim, Gila E. Stein, Edward J. Kramer, Craig J. Hawker, Thomas P. Russell Solvent cast diblock/triblock copolymer films of poly(styrene-$b$-ethylene oxide) (PS-PEO) and poly(styrene-$b$-methyl methacrylate-$b$-ethylene oxide) (PS-PMMA-PEO), with cylindrical microdomains of PEO or PMMA-PEO, have a high degree of long-range lateral order after solvent annealing. Relative humidity of the vapor during the solvent annealing has been shown to play an important role in achieving this order. Here, it was found that a PS-PMMA-PEO triblock copolymer having a lamellar morphology in bulk, develops a hexagonal array of depressed PEO domains on the film surface after solvent annealing under high humidity, while the film surface remains flat under less humid conditions. Cross-sectional TEM and GISAXS show that the film annealed under high humidity conditions exhibits a well-defined hexagonally-perforated lamellar (HPL) structure throughout its thickness, whereas a stack of lamellae aligned parallel to the surface is evident for the film annealed at lower humidity. These results strongly suggest that water vapor induces the morphological transition from lamellar to HPL by swelling the PEO. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S25.00010: Directing the Assembly of Patterns with Complex Geometries using Block Copolymers and Chemically Nanopatterned Substrates SangMin Park, Prabu Ravindran, Young-Hye La, Nicola Ferrier, Paul Nealey A combinatorial methodology was developed to explore the ultimate complexity of the patterns over which the domain structure of thin films of block copolymer can be directed to assemble. Films of lamellae-forming materials self-assemble into complex ``fingerprint'' patterns with the domains oriented normal to the plane of the film upon annealing on a surface exhibiting neutral wetting behavior towards the two blocks of the copolymer. Here we transfer the fingerprint pattern into a pattern of different chemical functionality (with little topography) through a series of processing steps. The chemical pattern is subsequently recoated with a block copolymer film and annealed, resulting in replication of the original fingerprint template. Using this methodology we demonstrated that the domains of the block copolymer film can be simultaneously directed to assembly into extraordinarily complex structures including dots, circles, ovals, and a variety of connected structures with very high degrees of curvature. The perfection with which the geometries on the nanopatterned substrates assemble is analyzed statistically using an automatic image processing system that can identify and track the changes of reassembled structures. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S25.00011: Order and disorder in cylindrical block copolymers on a surface with positive and negative Gaussian curvature A. Hexemer, E. J. Kramer, V. Vitelli, C. D. Santangelo, R. D. Kamien We present a novel approach of creating surfaces with Gaussian curvature gradients by using commercially available colloidal particles and standard processing techniques. We also demonstrate that these non-flat surfaces can induce regions of both high order, as well as disorder in cylindrical block copolymer films depending on the Gaussian curvature of the surface. On a flat surface the ground state has no preferential direction and a high density of disclinations is observed. A Gaussian curvature destroys the isotropy in alignment and induces a preferential alignment. For small bumps the cylinders wrap around the top of the bump with a constant density of disclinations and dislocations as a function of distance from the top. Higher bumps show a disordered phase in a region on the top of the bump. The disorder is induced by the migration of disclinations towards the top of the bump. Present address of AH is LBNL. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S25.00012: Rod-Coil Block Copolymer Self-Assembly in Thin Films B.D. Olsen, X. Li, J. Wang, R.A. Segalman Rod-coil block copolymers demonstrate self-assembly behavior distinct from traditional block copolymers due to liquid crystalline interactions between rod blocks and the geometric mismatch between the rigid rod and flexible coil. In thin films, surface segregation and confinement of the block copolymer in one dimension create novel self-assembly effects. Films of a model rod-coil block copolymer less than a few lamellar layers thick demonstrate lamellae oriented primarily parallel to the surface of the film due to a preference for one block to segregate to the interface. Increasing thickness results in a reorientation of lamellae at the free interface to a perpendicular orientation, although parallel orientation persists near the supported interface. While parallel lamellae show a domain spacing similar to the bulk value, the domain spacing of perpendicular lamellae varies with changing film thickness, approaching the bulk value for thicker films. We suggest that this distortion of domain spacing in thin films results from the dilation of block copolymer domains to accommodate defects. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S25.00013: Self-consistent field theory simulations of block copolymer assembly on a sphere T.L. Chantawansri, A.W. Bosse, A. Hexemer, H.D. Ceniceros, C.J. Garcia-Cervera, E.J. Kramer, G.H. Fredrickson Using a self-consistent field theory (SCFT) framework, we explore the topic of self-assembly in a thin AB diblock copolymer melt confined to the surface of the sphere. This model is numerically simulated by spectral collocation with a spherical harmonic basis. The method allows us to investigate the lamellar and cylindrical phases on the surface of a sphere as a function of sphere radius. For thin cylinder-forming films, with uniform radial composition, we have found that the number of microdomains in the ground state configuration is determined by a delicate competition between chain stretching and topological constraints. Notably, our SCFT simulations have shown the absence of configurations with 11 and 13 domains in the ground state. For thin lamellar films, we examined the stability of three lamellar configurations: spiral, hedgehog, and quasi-baseball phases. The spiral and hedgehog morphologies are found to alternate in stability over a range of sphere radii. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S25.00014: Freestanding nanowire arrays from soft-etch block copolymer templates. E. Crossland, S. Ludwigs, M. Hillmyer, U. Steiner We describe the preparation of highly ordered arrays of freestanding nanowires using block copolymer templates. The procedure consists of the alignment of the copolymer microphase morphology in a 150V$\mu $m$^{-1}$ electric field, removal of the minority phase and the electrodeposition of a metal or a metal-oxide. This results in 12 nm wide and $\sim $300 nm long isolated wires. In difference to earlier work by Russell, we use a polylactide as sacrificial block, enabling its degradation in a dilute aqueous base. Template formation by polylactide degradation is useful because it avoids aggressive degradation steps, which also alters the remaining template. The template-based manufacture of hybrid materials with a well defined structure on the 10-nm scale can this way be extended to composites, in which the detailed molecular structure of all components is important. In particular we envisage application in patterning semiconductors for bulk heterojunction hybrid solar cells. In addition to the successful alignment and replication of cylindrical micro domains we report the application of this method to the more complex gyroid morphology. In the presence of an applied electric field, we observe a transition from gyroid topology to a mix of standing cylinders and lamellae. [Preview Abstract] |
Wednesday, March 7, 2007 5:18PM - 5:30PM |
S25.00015: Investigation of polystyrene-b-polyferrocenyl silane diblock copolymer thin films via conducting probe atomic force microscopy James Li, Shan Zou, David Rider, Ian Manners, Gilbert Walker Conducting probe atomic force microscopy (CP-AFM) was used to investigate the electronic properties of polystyrene-b-polyferrocenyl silane (PS-b-PFS) diblock copolymer thin films. In this system, cylindrical domains of polyferrocenyl silane, a weak semiconductor, is surrounded by polystyrene, an insulating material. Mapping the electrical current response of the film to an applied voltage bias showed a correlation with the location of PS and PFS domains as evidenced by concurrent topographical and lateral friction imaging. The higher conductivity in the PFS region is believed to arise from localized oxidation rather than through-chain electron tunneling. Polyferrocenyl silane was additionally observed to exhibit diode-like behavior. Experiments were performed using contact mode AFM operation under ambient conditions and also in low-oxygen environments. The electronic properties of PFS in addition to the locally ordered configuration afforded by the self-assembly process provide a system which may have possible device applications. [Preview Abstract] |
Wednesday, March 7, 2007 5:30PM - 5:42PM |
S25.00016: Directed Assembly of Block Copolymers to Pattern Isolated Features and Essential Integrated Circuit Geometries M. P. Stoykovich, H. Kang, G. Liu, K. Ch. Daoulas, J. J. de Pablo, M. Mueller, P. F. Nealey Block copolymers are being explored for fabricating highly ordered lamellar, cylindrical, and spherical geometries with dimensions of 5-50 nm. The Semiconductor Industry Association's member companies have indicated, however, that successful insertion of self-assembling materials into the lithographic process requires the ability to pattern more complex geometries including long lines, short segments, sharp bends, jogs, T-junctions, periodic arrays of contact openings, and combinations thereof, e.g. the gate layer of integrated circuits. Here we show that this essential set of dense and isolated geometries can be achieved using the directed assembly of block copolymers on chemically patterned surfaces. Dense structures were fabricated by block copolymer domains oriented perpendicular to the substrate, while isolated structures were assembled with neighboring domains oriented parallel and perpendicular to the substrate. The line edge roughness of the block copolymer structures was also considered with respect to imperfections in the surface pattern. [Preview Abstract] |
Wednesday, March 7, 2007 5:42PM - 5:54PM |
S25.00017: Reversible reordering of a sphere-forming diblock at the substrate interface: surface directed sphere to lamellar transition Jessica L. Carvalho, Michael V. Massa, Kari Dalnoki-Veress We present our results on the use of ellipsometry to probe thin films of a diblock-copolymer. Ellipsometry uses the ellipticity induced upon reflection of light from a film covered substrate to allow calculation of the refractive index and thickness of the film. By studying the temperature dependence of these quantities one can measure phase transitions. The samples used are thin films of a polybutadiene-poly(ethylene oxide) diblock that micro phase-separates into PEO minority spheres in a PB matrix. We will discuss a transition that takes place which is the result of a reordering of the diblock morphology at the substrate. As the samples are cooled there is a reversible transition from a partially wetting layer of PEO spheres to a wetting lamella of PEO on the substrate. We will discuss the experimental results as well as the physical mechanism which drives the sphere to lamella transition. [Preview Abstract] |
Wednesday, March 7, 2007 5:54PM - 6:06PM |
S25.00018: Lamellar nanostructures of diblock copolymers confined in submicro-patterns Sehee Kim, Kookheon Char, Byeong-Hyeok Sohn Diblock copolymers consisting of two immiscible polymers covalently bonded together spontaneously form periodic nanostructures such as spheres, cylinders, and lamellae. In bulk, however, these periodic nanostructures of copolymers tend to orient randomly if no external forces are applied. To obtain periodic nanostructures over large areas, copolymers can be confined into topographic patterns. When block copolymers are physically confined, structural frustration and interfacial interaction can influence molecular organizations of copolymers, leading to hierarchically ordered nanostructures. In this presentation, the lithographic method and self-assembly of lamellae-forming diblock copolymers were combined to induce hierarchical nanostructures. Confined nanostructures of copolymers were investigated by transmission electron microscopy. Diblock copolymers confined in the submicro-pattern exhibited the unique orientation of lamellar nanostructures depending on the preparation conditions of the film before the confinement. [Preview Abstract] |
Session S26: Focus Session: Electron & Ion Solvation in Clusters & the Condensed Phase II
Sponsoring Units: DCPChair: J. Matthias Weber, University of Colorado at Boulder
Room: Colorado Convention Center 205
Wednesday, March 7, 2007 2:30PM - 3:06PM |
S26.00001: Spectroscopy and Dynamics of Excess Electrons in Clusters Invited Speaker: Clusters in which excess electrons are bound to solvent molecules can provide important links with electrons solvated in liquids, most notably the hydrated electron in aqueous solution. These considerations have motivated a series of studies in our group on the spectroscopy and dynamics of excess electrons in water and methanol clusters, which have been investigated using a combination of one-photon and time-resolved photoelectron imaging and infrared photodissociation spectroscopy. Salient results are as follows. (i) Both (H$_{2}$O)$_{n}^{-}$ and (CH$_{3}$OH)$_{n}^{-}$ show evidence for multiple isomers with very different vertical detachment energies, suggesting multiple electron binding motifs to these clusters. (ii) The time-resolved experiments yield direct measurements of excited state lifetimes in these clusters. Extrapolation to the infinite-size limit yields lifetimes of 50 fs for the hydrated electron and 150 fs for electrons dissolved in methanol. These ultrafast lifetimes are in good agreement with so-called non-adiabatic solvation models for bulk solvated electrons. (iii) Recent infrared spectroscopy experiments on (H$_{2}$O)$_{n}^{-}$ (n$\le $50) clusters obtained using a tunable free-electron laser have provided new insights into how the electron binding in these clusters evolves with size. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:42PM |
S26.00002: Theoretical Studies of Negatively Charged Water Clusters: The Role of Polarization and Dispersion for Electron Binding Invited Speaker: A quantum Drude oscillator model is used to characterize negatively charged water clusters as large as (H$_{2}$O)$_{24}^{-}$. The Drude model allows for inclusion of electron correlation effects between the excess electron and the electrons of the water molecules, at a fraction of the computational cost of all-electron \textit{ab initio} methods. Application of the Drude model to (H$_{2}$O)$_{6}^{-}$ demonstrates that there are many isomers with small electron binding energies that are more stable than the species with double acceptor water monomers that dominate under experimental conditions and that have electron binding energies near 0.45 eV. The talk will also explore the connection between the Drude model and more traditional polarization models used in describing the interaction of excess electrons with water. We show that a series of ``polarization'' models can be derived from the Drude model, by carrying out an adiabatic separation between the excess electron and the Drude degrees of freedom. It is found that the polarization and Drude models give similar electron binding energies for species in which the excess electron experiences large electrostatic attraction, but that the polarization models significantly overbind the excess electron in cases where the electrostatics play only a small role. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 4:18PM |
S26.00003: Excess Electrons in Water: Clusters, Interfaces, and the Bulk Invited Speaker: The presence of charged species at interfaces plays a central role in a wide range of physical processes. Heterogeneous electron transfer is among the most notable examples with implications in electrochemistry, atmospheric chemistry, heterogeneous catalysis, or from a more general viewpoint, in biological through-space electron transfer reactions. An excess electron in an aqueous environment may be considered as a useful model for studying key energetic, structural and dynamic aspects of these complex phenomena. Excess electrons are known to stabilize in bulk water, as hydrated electrons. Hydrated electron systems with reduced dimensionality, such as negatively charged, finite size water clusters, and excess electrons at aqueous interfaces of infinite size, have also been studied for a while. In the present work we will overview the results of a series of mixed quantum-classical molecular dynamics simulations aimed to examine the physical properties of various aqueous excess electron systems. The investigated systems include finite size water cluster anions, infinite ambient water/air, supercooled water/air, Ih ice/air, amorphous ice/air interfaces, and the fully hydrated electron. The discussion will focus on the critical issue whether the excess electron localizes in interior-bound states completely surrounded by water molecules, or on the water surface (interface) with significant electronic amplitude appearing outside the molecular frame (surface-bound states). Correlations of the excess electron state with the size, internal energy, and the local molecular structure of the environment will be illustrated. We will also demonstrate the dramatic influence of the excess electron state on the observable physical properties. The possible interconnections of the finite size cluster anions, the electrons at the infinite size water/air interfaces, and the three-dimensional, fully hydrated electron are also explored in comparison with available experimental data. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S26.00004: Optical Spectrum of the Hydrated Electron in Supercooled and Supercritical Water and Ice David Bartels, Erica Price, Yiqui Du Simulation of the hydrated electron optical spectrum has been the goal of a generation of researchers, and was apparently achieved within the last decade using a one-quantum-electron/pseudopotential/classical water MD modeling strategy. The temperature dependence of the spectrum (red shift at elevated temperature) was reported to be actually the effect of water bulk density. The red shift in simulation was linear in the inverse density. Spectra of the hydrated electron recorded in our laboratory in supercritical water strongly disagree with the simulation result, in that there is very little spectral change for a factor of six change in water density, from 0.1 to 0.6 g/cc at 375$^{\circ}$C. A new result presented here concerns the spectra in supercooled water, which can be compared with spectra in water at higher temperature at the same bulk density. In this comparison, density of the water very clearly does not determine the position of the absorption maximum---the temperature does. The one-quantum-electron/pseudopotential/classical water MD methodology clearly lacks some critical aspects of the real water-electron interaction. A comparison of the electron solvated in supercooled water or in ice at the same temperature shows virtually the same shape on the blue side, but a much narrower bandwidth on the red side in ice relative to water. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S26.00005: Evidence for the Formation and Solvation of the (Na$^{+}$,e\={ }) Complex Pairs in Tetrahydrofuran (THF) Molly Cavanagh, Ross Larsen, Benjamin Schwartz Using ultrafast spectroscopy, we monitor the spectral relaxation of the solvated sodium atom created following the ultrafast excitation of the sodium anion (sodide) charge-transfer-to-solvent band in THF. Immediately following excitation, a sodium atom that has the characteristic gas-phase 590-nm D-line absorption is formed. By untangling the overlapping spectral dynamics of the sodide bleach and solvated electron, we are able to cleanly elucidate the dynamics of the Na atom, whose absorption spectrum eventually shifts to $\sim $900 nm. We observe a fast, $\sim $300 fs solvation of the immediately formed gas-phase-like Na atom species followed by a chemical interconversion in $\sim $800 fs, as characterized by an isosbestic point, into a new species. The new species, which we assign as a (Na$^{+}$;e\={ }) contact pair, undergoes slow solvation in $\sim $10 ps to ultimately form the equilibrium 900-nm absorber. In combination, our data offers the most complete picture of the dynamics of the sodide CTTS reaction and its spectral intermediates. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S26.00006: Single and Double Excess Electrons in Water Clusters Ying Li, Robert Barnett, Uzi Landman Excess electrons in polar solvents is a topic of continuing interest. Early theoretical research on this subject predicted formation of surface and internal hydrated electron states, depending on the size of the water cluster and the state of the cluster [1]. Evidence for these modes of electron hydration has been reported in recent experiments. We discuss here theoretical investigations of excess electrons states in water clusters as a function of cluster size and state (liquid and frozen) using hybrid quantum (DFT)/classical simulations. In addition we discuss dielectron hydration in clusters [2]. [1] R. N. Barnett, C. L. Cleveland, U. Landman, J. Jortner, J. Chem. Phys. 88, 4429 (1988). [2] H.-P. Kaukonen, R. N. Barnett, U. Landman, J. Chem. Phys. 97, 1365 (1992). [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S26.00007: Charge-transfer reactions, energy gaps, and electron-transfer diabatic surfaces Nicola Marzari, P. H.-L. Sit Density-functional theory in the LDA or GGA approximation has become the widely-used standard model of condensed matter theory. I will discuss shortcomings and solutions to some of the problems that arise when addressing complex chemical reactions. These challenges include the correct description of electron-transfer processes, where electrons become delocalized and shared between ions that should be in different oxidation states. An effective solution can be obtained by introducing a penalty functional that imposes the correct charge state on the ions involved in the reaction [1]. This approach is validated in a model system, showing that the ground state and the charge-transfer excited state can be calculated with negligible errors, and then applied to the determination of the diabatic free-energy surfaces for ferrous and ferric ions in solution. [1] P. H.-L. Sit, Matteo Cococcioni and Nicola Marzari, Phys. Rev. Lett. 97, 028303 (2006). [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S26.00008: Isomers and the correlation between excess electron binding and the local H-bonding motif in hydrated electron clusters. Mark Johnson We describe a series of experimental results that address the origin of the isomeric classes of negatively charged water clusters that differ according to their electron binding energies. The molecular structure of the local electron binding site is revealed through the isomer-specific vibrational spectra in the intramolecular HOH bending and OH stretching regions for both H and D isotopomers. Isomer selection is accomplished with a photochemical population modulation scheme in which low electron binding isomers are sequentially and systematically removed from the mixed isomer ensembles created in free jet ion sources. The class of clusters (type I) that most strongly binds an excess electron exhibits a characteristic red-shifted band in the bending region that is assigned, based on the behavior of very small clusters, to electron attachment to a single water molecule held to the supporting network by a double H-bond acceptor (AA) motif. Isomers that bind the electron more weakly do not display this spectral signature, indicating that local H-bonding topology is a significant factor in controlling the overall work functions of the clusters. Isomer interconversion and growth mechanisms will also be addressed using Ar-mediated incorporation of hetero-isotopes and surface electron scavenging by reactive charge-transfer collisions. [Preview Abstract] |
Session S27: Focus Session: Computational Nanoscience VI - Nanowires
Sponsoring Units: DMP DCOMPChair: Nicola Marzari, Massachusetts Institute of Technology
Room: Colorado Convention Center 301
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S27.00001: The electrostatic and structural properties of GaN nanorods/nanowires from first-principles M.-H. Tsai, Z.-F. Jhang, J.-Y. Jiang, Y.-H. Tang, L.W. Tu The first-principles calculation has revealed that the GaN nanorod has a greatly enhanced dipole moment per area relative to that of a film, which in conjunction with the geometry effect suggests that the top surface of the GaN nanorod has a greater electrostatic attraction for gas-phase Ga and N source species than the film surface during epitaxial growth of GaN, so that nanorods grow much faster than the film. This electrostatic effect may explain the growth of nanorods protruding high above the film surface. The first-principles molecular-dynamics calculation shows that the average Ga-N bond length of the GaN nanowire decreases with the decrease of the diameter of the nanowire, which demonstrates a surface tension effect. This trend can be expected to be the same for the experimentally grown nanorods, because the physical origin that drives this contraction, namely the surface tension, is the same. Thus, the bond-length result may explain the experimentally observed blue shift of the cathodoluminescence emission. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S27.00002: The electronic structure of radial p-n junction silicon nanowires Shan-Haw Chiou, Jeffrey Grossman Silicon nanowires with radial p-n junctions have recently been suggested for photovoltaic applications because incident light can be absorbed along the entire length of the wire, while photogenerated carriers only need to diffuse a maximum of one radius to reach the p-n junction. If the differential of the potential is larger than the binding energy of the electron-hole pair and has a range larger than the Bohr radius of electron-hole pair, then the charge separation mechanism will be similar to traditional silicon solar cells. However, in the small-diameter limit, where quantum confinement effects are prominent, both the exciton binding energy and the potential drop will increase, and the p-n junction itself may have a dramatically different character. We present ab initio calculations based on the generalized gradient approximation (GGA) of silicon nanowires with 2-3 nm diameter in the [111] growth direction. A radial p-n junction was formed by symmetrically doping boron and phosphorous at the same vertical level along the axis of the nanowire. The competition between the slope and character of the radial electronic potential and the exciton binding energy will presented in the context of a charge separation mechanism. [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S27.00003: Equilibrium charge and potential distribution of a surrounding-gate silicon nanowire in the LDA approximation. Bart Soree, Wim Magnus, Geoffrey Pourtois, Steven Compernolle The equilibrium charge and potential distribution of a silicon nanowire is obtained from a Poisson-Schr\"{o}dinger solver in the local density approximation (LDA). The cylindrical nanowire consists of heavily doped source and drain regions and the channel region is surrounded by a metallic gate. We have studied different cases where the low dimensionality of the wire has a profound effect on the equilibrium charge and electrostatic potential of the gated wire for different gate voltages. Our calculations show that for short channel lengths the built-in potential is significantly lowered and for small diameters volume inversion occurs. We discuss the possible implications for device performance of these low dimensional effects. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S27.00004: Structural and Electronic Properties of Silicon Carbide Nanowires Sanguo Shen, M. Yu, C. Leahy, C.S. Jayanthi, S.Y. Wu We have studied the structural and electronic properties of SiC nanowires (NWs) of different diameters (1 nm $<$ d $<$ 7nm) and shapes ($e.g.,$ hexagonal, round, and rhombohedral cross-sections) for wires oriented along $<$001$>$, $<$011$>$, $<$111$>$ (cut from the 3C-bulk), and $<$0001$>$ directions (cut from 2H-, 4H-, or 6H- bulk). A supercell is set-up for each of the above orientations. We relaxed the structures using the state-of-the-art semi-empirical molecular dynamics scheme as described in Ref. [1]. The main results of our findings are: (i) Among the different shapes investigated, NWs with hexagonal morphology are the most stable structures, (ii) Among the hexagonal NWs, those cut from 2H-SiC bulk structures were found to be the most stable ones in the diameter range investigated. They exhibit very weak surface relaxations, and were found to exhibit semiconductor characteristics, (iii) On the other hand, NWs cut from 3C-, 4H-, and 6H- bulk structures exhibit strong facet reconstructions and were found to have metallic characteristics. These results are in agreement with DFT-based ab-initio calculations for small diameter NWs up to 3 nms. [1] Leahy \textit{et al.} Phys. Rev. B74, 155408 (2006). [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S27.00005: Novel polyicosahedral Si nanowire: A molecular-dynamics study. Kengo Nishio, Tetsuya Morishita, Wataru Shinoda, Masuhiro Mikami A novel polyicosahedral nanowire, which is composed of linked icosahedral Si nanodots is spontaneously formed in a series of annealing molecular dynamics simulations of liquid Si inside a nanopore of 1.36 nm in diameter[1]. The polyicosahedral Si nanowire is stable even in a vacuum up to about 77{\%} of the melting temperature of bulk Si. Our structural energy calculations reveal that the polyicosahedral nanowire is energetically advantageous over the pentagonal one for a wire whose diameter is less than 6.02 nm, though the latter has been recently proposed as the lowest energy wire. These results suggest the possibility of the formation of a new stable polyicosahedral Si nanowire. \newline [1] J. Chem. Phys. 125, 074712 (2006). [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S27.00006: Investigation of surface reconstructions in [110] Ge nano-wires. Jiaxin Han, Scott Beckman, James Chelikowsky It is anticipated that nano-structures will lead to the development of novel optical devices. It is known that quantum confinement transforms indirect band-gap crystals into direct band gap nano-structures. Although is it predicted that Ge [110] nano-wires will have a direct band gap, the optical absorption spectra has yet to be measured for free standing Ge nano-wires. Recent calculations have focused on investigating the HOMO-LUMO gap in hydrogenated Ge nano-wires. (1) This surface passivation technique neglects surface states, which are suspected to be the primary recombination mechanism in these Ge nano-structures. Here we investigate the surface reconstructions of [110] Ge nano-wires using the real-space density functional theory formalism encoded in the PARSEC software. (2) The structure and electronic states associated with wires as large as 1.2 nm diameter are investigated. Simulated annealing is used to identify the minimum energy structure out of the many possible reconstructions. (1) S. P. Beckman, Jiaxin Han, and James R. Chelikowsky. Phys. Rev. B. 74, 165314 (2006). (2) http://www.ices.utexas.edu/parsec/ [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S27.00007: {\it Ab initio} computations of structural and electronic properties of doped and undoped Ge nanowires D. Medaboina, V. Gade, S. K. R. Patil, S. V. Khare We report results of structural and electronic properties of hydrogen passivated doped and undoped Ge nanowires along [100], [110] and [111] growth directions using density functional theory in the local density approximation (LDA). Cross-sections of nanowires with diameters $\ $ d $>$ 2.0 nm are facetted reflecting the crystal symmetry about their axis. Nanowires along [100] direction with d below (above) 1.5 nm are found to be direct (indirect) band gap (E$_g$) semiconductors. Nanowires along [110] have direct E$_g$ for d $>$ 1.0 nm. Nanowires along [111] have indirect E$_g$ for d $>$ 1.0 nm. The magnitude of E$_g$ increases as the wire diameter decreases with values as high as 4.3 eV for a [100] wire with d $=$ 0.41 nm. For a fixed diameter E$_{g}^{[100]}$ $>$ E$_{g}^{[111]}$ $>$ E$_{g}^ {[110]}$. Doping with P or B did not have a significant effect on the valence and conduction band dispersions. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S27.00008: Electronic structures and optical properties of GaN and ZnO nanowires T. Akiyama, A.J. Freeman, K. Nakamura, T. Ito GaN and ZnO are promising semiconductor materials that exhibit many outstanding physical and chemical properties. Recently, their one-dimensional nanowires (NWs) are also attracting much interest due to their significant potential for optoelectronic nano-devices \footnote{Zhong {\it et al.}, Nano Lett. {\bf3}, 343 (2003); Ng {\it et al.}, APL {\bf 82}, 2023 (2003)}; they always take the wurtizte structure while other compound semiconductor NWs also exhibit other polytypes \footnote{Akiyama {\it et al.}, JJAP {\bf 45}, L275 (2006); PRB {\bf 73}, 235308 (2006)}. However, little is known about their electronic and optical properties. Here we investigate the electronic structures and optical properties of GaN and ZnO [0001] NWs by using the highly precise full-potential linearized augmented plane wave (FLAPW) method \footnote{Wimmer, Krakauer, Weinert, Freeman, PRB {\bf 24}, 864 (1981)}. Our calculations demonstrate that the band gap energy of both the unpassivated and passivated NWs becomes large compared with the bulk energy gap due to quantum confinement effects; surface states crucially affect the electronic structure of unpassivated NWs. Further, we find peculiar features of their dielectric functions that exhibit strong anisotropy in the calculated optical properties. Work supported by the U.S. NSF (through its MRSEC Program at NU). [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S27.00009: DFT Study of ZnO Nanowire with Wurtzite (0001) Structure Xiao Shen, Philip B. Allen, Mark R. Pederson, Jin-Cheng Zheng, James W. Davenport, James T. Muckerman The most commonly reported ZnO nanowires have the (0001) wurtzite growth axis. We report two first-principles calculations using density-functional theory (DFT) for a small model nanowire with diameter 0.9 nm, containing 26 atoms in one periodic repeat unit cell, arranged as a fragment of a wurtzite (0001) crystal. One calculation is done on an infinite wire and the other on a truncated piece. The two calculations show excellent agreement. In both calculations, the atomic coordinates were relaxed to the nearest stable minimum. The exposed (1$\bar{1}$00) surfaces resemble closely the relaxed surface found in bulk\footnote{U. Diebold et al., Appl. Surf. Sci. 237, 336 (2004)}. Our calculation shows that the $c$ axis has a $\sim$2\% elongational strain. We also compute the change of the Young's modulus relative to the bulk, and compare our prediction with experiment\footnote{C. Q. Chen et al., Phys. Rev. Lett. 96, 075505 (2006)}. The electrical polarization of this non-centrosymmetric nanowire will be discussed, and also the assignment of a rotational quantum number $m$ to the Bloch band states. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S27.00010: Capillary stability of nanowires in the presence of dislocations Mark Jhon, Daryl Chrzan, Andreas Glaeser Nanometer scale structures are often unstable with respect to capillary forces. For instance, wires may be susceptible to a pearling (Rayleigh) instability or to coarsening. A simple continuum theory is presented that predicts that sufficiently small second phase wires formed around dislocations are stable to both forms of structural instability. The elastic interaction is found to balance the effects of surface energy. Infinitesimally small perturbations to an isolated wire are found to decay for wires smaller than a critical size. For an ensemble of wires smaller than the critical size, a driving force is found for inverse coarsening. These results imply that thermally stable nanometer-scale wires can be produced. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S27.00011: Stability of conductance oscillations in monatomic sodium wires Petr Khomyakov, Geert Brocks We study the stability of conductance oscillations in monatomic sodium wires with respect to structural variations. The geometry, the electronic structure and the electronic potential of sodium wires suspended between two sodium electrodes are obtained from self-consistent density functional theory calculations. The conductance is calculated using the Landauer-Buttiker formalism and the mode-matching technique as formulated recently in a real-space finite-difference scheme [PRB 70, 195402 (2004)]. We find a regular even-odd conductance oscillation as a function of the wire length, where wires comprising an odd number of atoms have a conductance close to the quantum unit, and even-numbered wires have a lower conductance. The conductance of odd-numbered wires is stable with respect to geometry changes in the wire or in the contacts between the wire and the electrodes; the conductance of even-numbered wires is more sensitive. Geometry changes affect the spacing and widths of the wire resonances. In the case of odd-numbered wires the transmission is on-resonance, and hardly affected by the resonance shapes, whereas for even-numbered wires the transmission is off-resonance and sensitive to the resonance shapes. Predicting the amplitude and phase of the conductance oscillation requires a first-principles calculation based upon a realistic structure of the wire and the leads. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S27.00012: Quantum and classical simulations of nanowire self-assembly Zhigang Wu, Jeffrey Grossman The ability to control the synthesis of nanostructures such as nanowires and nanotubes is crucial to the success of next-generation nanotechnology devices. One promising approach for efficiently controlling fabrication is to functionalize nanoscale building blocks such that they will self-assemble under the appropriate conditions. We employ a combination of ab initio total energy calculations, classical molecular dynamics (MD), and classical Monte Carlo (MC) calculations to investigate the possible self-assembly of nanoscale objects into chains and wires. The ab initio calculations provide key information regarding selective chemical functionalization for end-to-end attraction and the subtle interplay of the energy landscape, which is then used to fit classical potentials. Using these potentials, MD simulations are carried out to predict short- time (i.e., ps and ns timescales) dynamical properties of nanoparticle assembly as a function of particle shape, chemical functionalization, and temperature. Finally, both static and dynamical data from these calculations are used in MC simulations to predict large time- and length-scale assembly under a variety of synthesis conditions. Our results suggest a new technique for bringing nanoscale objects together to form ordered, ultra high- aspect ratio nanowires. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S27.00013: Molecular Simulation of Size-Dependent Properties of Polymeric Nanofibers Sezen Curgul, Greg Rutledge, Krystyn VanVliet Materials with nanometer dimensions have been shown experimentally to exhibit size dependent properties. Polymer nanofibers, in particular, are of interest because of their several value added applications such as medical, filtration, barrier, wipes, personal care, composite, garments, insulation, and energy storage. We report here the results of molecular dynamics (MD) simulations of polymer nanofibers using LAMMPS (Large-Scale Atomic/Molecular Massively Parallel Simulator). To date, we have simulated fibers comprised of chains that mimic the prototypical polymer polyethylene, with chain lengths ranging between C50 and C300. These nanofibers have diameters in the range 1.86-16.2 nm. The fibers have been analyzed for signature of size dependent behavior in their structural and dynamical properties. In these fibers, mass and energy density profiles are similar and they have constant bulk-like values at the center of the fiber, for sufficiently large diameter fibers. The surface layer thickness shows little dependence on the fiber size. The interfacial excess energy increases with decreasing fiber size for fibers below 5 nm in diameter. The chains at the surface show preferred conformations and orientations that are significantly different than chains at the center of the nanofiber. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S27.00014: Raman Antenna Effect in Semiconducting Nanowires. Gugang Chen, Qihua Xiong, Peter Eklund A novel Raman antenna effect has been observed in Raman scattering experiments recently carried out on individual GaP nanowires [1]. The Raman antenna effect is perfectly general and should appear in all semiconducting nanowires. It is characterized by an anomalous increase in the Raman cross section for scattering from LO or TO phonons when the electric field of the incident laser beam is parallel to the nanowire axis. We demonstrate that the explanation for the effect lies in the polarization dependence of the Mie scattering from the nanowire and the concomitant polarization-dependent electric field set up inside the wire. Our analysis involves calculations of the internal electric field using the discrete dipole approximation (DDA). We find that the Raman antenna effect happens only for nanowire diameters d$<\lambda $/4, where $\lambda $ is the excitation laser wavelength. Our calculations are found in good agreement with recent experimental results for scattering from individual GaP nanowires. [1] Q. Xiong, G. Chen, G. D. Mahan, P. C. Eklund, in preparation, 2006. [Preview Abstract] |
Wednesday, March 7, 2007 5:18PM - 5:30PM |
S27.00015: Structure and stability of suspended monatomic metal chains Anwar Hasmy, Luis Rincon, Raiza Hernandez, Manuel Marquez, Vladimiro Mujica, Carlos Gonzalez Since the spectacular achievement of the ultimately thin wire (a suspended monatomic gold chain) little progress has been made on the origin and the ubiquity of this phenomenon. Here we report a systematic quantum study on breaking monovalent metal nanowires through tight-binding molecular dynamics simulations. We show that at low temperature (4 K) gold, silver and copper can form linear and stable suspended monatomic chains at the late stage of the nanowire breaking process, but at room temperature silver and copper chains adopt a zigzag structure (as predicted by first principles calculations) that becomes unstable. We found that the stability and the average number of atoms forming these chains depend on the metal specie, a fact that can be explained in terms of the population of d-orbitals along the chains. Besides to clarify the controversy in the literature on the formation of these chains in 3d and 4d metals, our findings give insights on the advantages and limitations of detecting them through conductance measurements. [Preview Abstract] |
Session S28: Focus Session: Carbon Nanotubes: Devices
Sponsoring Units: DMPChair: Bhupesh Chandra, Columbia University
Room: Colorado Convention Center 302
Wednesday, March 7, 2007 2:30PM - 3:06PM |
S28.00001: Electrical Contacts to Nanotubes and Nanowires Invited Speaker: Electrical contacts play a key role in electronics. As new materials such as nanotubes and nanowires are explored for nanoelectronics, the fundamental aspects of electrical contacts need to be re-examined due to the unique properties of these nanostructures. In this talk, recent theoretical and modeling results will be presented on the properties of electrical contacts to carbon nanotubes and nanowires. For these quasi-one dimensional (Q1D) structures, side contact with the metal only leads to weak band realignment, in contrast with bulk metal-semiconductor contacts. Schottky barriers are much reduced compared with the bulk limit, and should facilitate the formation of good contacts. However, the conventional strategy of heavily doping the semiconductor to obtain Ohmic contacts breaks down as the nanowire diameter is reduced. The issue of Fermi level pinning will also be addressed, and I will discuss how the unique density of states of Q1D structures makes them less sensitive to this effect. The results agree with recent experimental work, and should apply to a broad range of Q1D materials. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S28.00002: Tunable contact barriers at single wall carbon nanotube/silicon heterojunctions Zhuangchun Wu, Bo Liu, Andrew G. Rinzler Due to their prevalence in modern electronic devices understanding contact barriers at metal-semiconductor junctions remains an important area of research. However, due to the sensitivity of such junctions to surface states modified by parameters like the semiconductor surface preparation, the type of metal used, and its method of deposition, this remains an area rich in complications (viz. Fermi-level pinning). Single wall carbon nanotubes (SWNTs), by virtue of their highly passivated side-walls, provide an opportunity to reduce this complexity by their lack of covalent interaction with the semiconductors on which they can be deposited. The porosity of nanotube film contacts provides further opportunities not available with contiguous metal contacts. We describe experiments in modulating the contact barrier between SWNT/Si heterojunctions using an ionic liquid gate. Modest gate voltages are shown to modify the contact barriers modulating the current across the junction by a factor of 300. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S28.00003: Encapsulation of floating carbon nanotubes in SiO$_2$ Leonidas Tsetseris, Sokrates Pantelides In many applications of carbon nanotubes (CNT), it is desirable to have them embedded in a dielectric such as SiO$_2$, without significantly impacting their electronic properties. Here we study the CNT-SiO$_2$\ interface of an embedded CNT using first-principles calculations. Our results suggest that a carbon nanotube can be incorporated inside a SiO$_2$\ matrix that nucleates around it through the formation of Si-O-C bridges. The large distortion associated with the formation of these bridges can be alleviated by hydrogenation of the composite. Introduction of hydrogen in the vicinity of the bridges leads to their elimination, whereby the nanotube loses its anchoring to the matrix and it floats. For CNTs of suitable diameter, the final floating structure has electronic properties very close to the structure in vacuum. Overall, our results provide atomic-scale information that is relevant to a broad range of applications using embedded or adsorbed nanotubes, for example, sensors, electronics, actuators, and CNT coatings. This work was supported in part by DOE Grant DEFG0203ER46096. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S28.00004: Carbon Nanotube FET Mixers and High Frequency Applications Zhaohui Zhong, xinjian Zhou, Paul McEuen We have investigated the high frequency electrical properties of single-walled carbon nanotube field effect transistors by operating the devices as microwave mixers. The mixing current amplitude depends linearly on the transconductance and quadratically on the applied AC voltage. On devices with insulating substrates, the response is approximately independent of frequency up to 40 GHz. Two applications of these high frequency-operation carbon nanotube FET mixers will be discussed: the detection of terahertz electrical pulses and nanoscale dielectric spectroscopy of liquids. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S28.00005: Capacitance Measurement for FETs of Individual SWNTs with Altra-Thin ALD High-k Dielectric Yuerui Lu, Ryan Tu, Yoshio Nishi, Hongjie Dai Recently, we have been able to approach the ultimate vertical scaling limit of carbon nanotube field effect transistors (FETs) and reliably achieve S $\sim $ 60 mV/decade at room temperature, by non-covalent functionalization of single walled carbon nonotubes (SWNTs) with ploy-T DNA molecules, which can impart functional groups of sufficient density and stability for uniform and conformal ALD of high-$\kappa $ dielectrics (HfO$_{2})$ with thickness down to 2-3 nm on SWNTs. Moreover, very small top gate stack capacitance ($\sim $200aF) of the SWNT FET has been successfully measured directly, using a special technique. The quantum capacitance oscillation with top gate voltage bias is clearly observed, due to the large geometric capacitance comparable to or even larger than the quantum capacitance of the SWNT. Theoretical quantum transport model and calculation fit very well with the experiment data. In addition, the mobility of the SWNT FETs at room temperature is also extracted by the capacitance measured directly. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S28.00006: Observing sub-60mV/dec Switching in Carbon Nanotube Tunneling Field Effect Transistor Xinran Wang, Yuerui Lu, Hongjie Dai Single-walled carbon nanotubes (SWNTs) are promising materials for future high performance and nano-electronics due to their high performance of SWNT field effect transistors (FETs) such as Ohmic contact, nearly ballistic transport and ideal switching when integrated with thin high-$\kappa $materials. Yet the ideal structure for SWNT transistors is still unclear due to non-ideal behaviors when transistor size is scaled down. Recently, PIN structure is proposed as an ultimate structure for SWNT-FETs on a single device level. In this work, SWNT-FETs with n- and p- doped source and drain and ultra thin high-$\kappa $gate dielectrics is first experimentally fabricated and characterized. This novel tunneling transistor structure is based on quantum mechanical band-to-band tunneling current between conduction and valence band of semiconducting SWNTs as opposed to the conventional Schottky type devices. Sub-60mV/dec subthreshold slope (SS) is observed reliably in our PIN transistors for $\sim $3 orders of current level on both p- and n- channels in transfer characteristics. The previously reported charge pile-up effect in SWNT-FETs is suppressed in our devices. By comparing the performance of PIN transistors with PIP MOSFETs, we find that PIN could offer lower off-state current and better on/off ratio while maintaining a decent on-state current and thus is suited for low power dissipation applications. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S28.00007: Simultaneous electrical transport and STM of carbon nanotubes B.J. LeRoy, I. Heller, C. Dekker, S.G. Lemay We have developed a technique to perform simultaneous electrical transport and scanning tunneling spectroscopy measurements on carbon nanotubes. The combination of these techniques allows the charge states involved in transport through the nanotube to be directly probed. The spectroscopy measurements show peaks due to Coulomb blockade, which split and change energy as a function of the source-drain voltage across the nanotube. These splitting peaks track the Fermi level of the source and drain electrodes. With our combined measurement technique, we are able to show that these peaks in the spectroscopy are correlated with changes in the source-drain current. This demonstrates that the states identified by the spectroscopy measurement are the same delocalized states involved in transport through the nanotube. Unexpectedly, the strength of these spectroscopy peaks depends on position along the nanotube. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S28.00008: Electron transport in single-walled carbon nanotube coupled to superconducting leads Yong Zhang, Gang Liu, Jeanie Lau We investigate electron transport through individual single-walled carbon nanotubes(SWCNT). The transport characteristic changes dramatically when the metal electrodes of the devices switch from normal state into superconducting state.~In such a situation, CNT functions as a weak link in between the superconductors(S),constituting a Josephson junction. If the contact is highly transparent, individual SWCNT can carry a supercurrent by means of proximity effect. We performed the transport measurement through S-CNT-S type Josephson junction at 260mK. Only those nanotube devices with room temperature resistance below 15kOhm were examined. The differential conductance of S-CNT-S junction showed periodic oscillations as a function of both drain-source and gate voltage, a signature of Fabry-Perot interference. Moreover, we observed a pronounced zero-bias conductance peak, which is tunable by gate voltage. Such conductance peak is attributed to multiple Andreev reflections at the S-CNT interfaces. Further experimental results in different magnetic fields and temperature will be discussed. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S28.00009: Evidence for Gated Spin Transport through Individual Semiconducting Single-Wall Carbon Nanotubes. Tanesh Bansal, Bhaskar Nagabhirava, Aditya Mohite, Prasanth Gopinath, Bruce Alphenaar Using a short-channel fabrication technique we are able to characterize semi-conducting single-wall carbon nanotubes at lower temperature (4.2K) than previously possible. Using this technique we are able to observe evidence for gate controlled spin transport through a semi-conducting SWNT for the first time. At 300K the devices showed typical transistor behavior with a 500{\%} change in conductance between on and off states. As the temperature decreases this behavior persists however, gate dependent conductance fluctuations appear superimposed on the standard semi-conducting gate dependence. SEM images reveal only a single nanotube between the contacts. Magnetic field dependent measurements show that spin mediated magnetoresistance follows the conductance of the device with respect to the gate voltage. Measurements reveal that the spin transport through the nanotube survives up to 30K. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S28.00010: Probing the characteristics of carbon nanotube based devices through the Aharonov-Bohm phase Georgy Fedorov, Dmitry Smirnov, Alexander Tselev, David Jim\'enez, Sylvain Latil, Nikolay G. Kalugin, Paola Barbara, Stephan Roche The exceptional low-dimensionality and symmetry of carbon nanotubes (CNT) are at the origin of their spectacular physical properties governed by quantum effects. Ajiki and Ando [1] predicted that an axial magnetic field would tune the bandstructure of a CNT between a metal and a semiconductor, owing to the modulation of the Aharonov-Bohm (AB) phase of the electronic wave function. This remarkable effect of magnetic field leads to a class of new physical phenomena observed in CNT. Here we report on quasimetallic CNT forming a conduction channel of three-terminal devices, which can further operate as CNT field effect transistors, under the modulation of the AB- phase. The off-state conductance varies exponentially with the magnetic flux intensity. We show that the helical symmetries of metallic CNT, as well as the characteristics of Schottky barriers formed at the metal-nanotube contacts, can be obtained by using temperature-dependent magnetoresistance measurements. [1] Ajiki, H. \& Ando, T. J. Phys. Soc. Jpn. 62, 1255-1266 (1993) [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S28.00011: Density-functional study of Peierls instability in carbon nanotubes using hybrid functionals Guillaume Dumont, Paul Boulanger, Michel Cote, Matthias Ernzerhof We present a first-principles study of Peierls distortions in \emph{trans}-polyacetylene, polyacene and armchair $(n,n)$ carbon nanotubes. All calculations were done within density- functional theory using a gaussian basis set. We show that while density only functionals (LDA, GGA) cannot reproduce the experimentally mesured dimerization in \emph{trans}- polyactetylene, hybrid functionals including Hartree-Fock exchange can give the correct geometry. These findings suggest that armchair $(n,n)$ carbon nanotubes could have a nonsymmetric ground state; in contradiction with what is commonly accepted. Indeed, the B3LYP functional (which includes 20\% of exact exchange) opens a gap of 0.26 eV and 0.12 eV for the $(3,3)$ and $(6,6)$ carbon nanotubes respectively. Accordingly, dimerization amplitudes of 0.005 \AA~and 0.002 \AA~are obtained. It is found that the dimerization and the band gap are proportional to the the amount of exact exchange included in the functional. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S28.00012: Asymmetric Noise in Carbon Nanotube Quantum Dots Chris Merchant, Nina Markovic We report on low-temperature noise measurements of carbon nanotube quantum dots. The dots are asymmetric, having one ferromagnetic and one superconducting lead. Our measurements indicate that the noise is also asymmetric with respect to current, apparently depending on the direction of electron tunneling. Additionally, we observe that the gate voltage modulates the noise. We discuss these results relative to the standard model for quantum dots as well as spin blockade. [Preview Abstract] |
Wednesday, March 7, 2007 5:18PM - 5:30PM |
S28.00013: Remote phonon scattering in NT field effect transistors Alexey G. Petrov, Slava V. Rotkin We developed a theory of the remote phonon (RP) scattering for the hot charge carriers in nanotube (NT) field effect devices that use polar dielectric substrates, such as SiO2 or high-kappa materials [JETP Lett 84, 156, 2006]. We calculated the effect of this novel scattering mechanism on the NT conductivity. We stress that in contrast to any other scattering mechanisms studied earlier the RP scattering allows to transfer the excess energy of the hot carriers directly to the substrate (not through the NT lattice). The macroscopic substrate has no limitation of a finite thermal capacity as a single NT has. Therefore, our RP scattering mechanism is advantageous for the high power NT devices, especially when aggressively scaling down the size and scaling up the operational frequency. We obtained a scattering time within a self-consistent quantum mechanical approach for inter- and intra-subband transitions in semiconductor and metallic NTs. The intra-subband transitions with forward scattering are shown to prevail over the inter-subband transitions as well as the backward scattering. We obtained the polaronic effects by solving for the electron energy and life-time self-consistently. We found the upper limit of the spacing between the NT and the polar dielectric for the RP scattering to become ineffective, which is approximately 40 nm for the quartz substrate. [Preview Abstract] |
Session S29: Glassy Dynamics and Jamming II
Sponsoring Units: DFDChair: Eric Weeks, Emory University
Room: Colorado Convention Center 303
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S29.00001: Correlations of spatial structure and particle motion in shear-induced clusters of a near-glassy colloidal suspension. Denis Semwogerere, Dandan Chen, Eric R. Weeks We study fast-moving particle clusters formed as a result of shear applied to a colloidal suspension near the glass transition. The suspension is of micron-sized PMMA spheres in an index-matched fluid that allows visualization of the individual particles using high-speed confocal microscopy. The particles are tracked and their individual 3D trajectories determined. The shear-induced non-affine motion of each particle is extracted from its trajectory by subtracting the macroscopically imposed shear motion. Fast-moving particles are observed to move cooperatively as a group. We examine correlations between local spatial structure and the non-affine motion of the particles. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S29.00002: Shear-driven dynamic clusters in a colloidal glass Christoph Eisenmann, Chanjoong Kim, Johan Mattsson, David Weitz We investigate the effect of shear applied to a colloidal glass on a microscopic level using a shear device that can be mounted on top of a confocal microscope. We find that the glass yields at a critical strain of about 10\%, independently of the shear rate. Surprisingly, the yielding is accompanied by an increase of cooperative particle movements and a formation of dynamic clusters which is in contrast to the normal glass transition where one typically finds heterogeneity increasing whilst moving towards the glass transition. [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S29.00003: Reversibility and self-organization in non-Brownian suspensions Laurent Cort\'{e}, Paul Chaikin, Jerry Gollub, David Pine Many-body systems often exhibit irreversible behavior even though the governing equations of motion are reversible. Nevertheless, it is unusual to encounter a physical system in which the transition from reversible to irreversible behavior can be explored experimentally. Recent experiments in our lab on periodically sheared non-Brownian suspensions show a sharp transition from reversible to irreversible chaotic behavior above a concentration-dependent threshold strain amplitude.\footnote{D. J. Pine, J. P. Gollub, J. F. Brady \& A.M. Leshansky, Nature, \textbf{438}, 997-1000 (2005).} The observation of a sharp threshold is puzzling as the initial distribution of particles is random, with no obvious length scale for the onset of irreversibility. We develop a simple model, explored through simulation and mean field theory, that captures the salient behavior of the experiments. For small strain amplitude, the model reveals that random displacements of colliding particles can cause the system to self-organize into a reversible state that avoid further collisions. The model provides new insights into how microstructure can spontaneously develop and how random encounters can help a system evolve towards a stable fixed point. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S29.00004: Ultraslow relaxation in aqueous glucose solutions near the glass transition David Sidebottom We report the results of both a dynamic and static light scattering study of the viscoelastic relaxation of aqueous glucose solutions. Photon correlation spectroscopy of samples with varying glucose concentration was conducted in both the polarized and depolarized scattering geometries. In addition to the usual, non-hydrodynamic, non-exponential, wavevector-independent viscoelastic (alpha) relaxation whose relaxation time approaches 100 seconds at the glass transition temperature, an even slower component of structural relaxation is observed. This ultraslow (exponential) relaxation is present only in the polarized scattering geometry and exhibits a relaxation time that varies as nearly the inverse square of the scattering wavevector. Static light scattering on these same solutions indicate the prescence of clusters with a size of order 50 nm. We speculate (1) that these clusters result from hydrogen bonding of water between glucose molecules and (2) that motion of these clusters within the fluid is the source for the ultraslow relaxation mode seen in the dynamic light scattering. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S29.00005: Anisotropic spatially heterogeneous dynamics in a model glass-forming binary mixture Grzegorz Szamel, Elijah Flenner We used computer simulations to calculate, for a model binary mixture, a four-point correlation function which measures the spatial correlations of the relaxation of different particles and the corresponding structure factor. We found that these four-point functions are anisotropic. The anisotropy is the strongest for times somewhat longer than the $\beta$ relaxation time, but it is quite pronounced even for times comparable to the $\alpha$ relaxation time. At the lowest temperatures the four-point structure factor is strongly anisotropic even for the smallest wavevector $q$ accessible in our simulation. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S29.00006: Isothermal compressibility effects in glass-forming liquids and polymers Wenjuan Liu, Ralph Colby, Jane Lipson We develop a simple model to account for the effects of density fluctuations in the dynamics of glass-forming liquids and polymers. The magnitude of the density fluctuation of any liquid is proportional to isothermal compressibility. As the isothermal compressibility at the glass transition increases, the (segmental) relaxation time distribution measured by dielectric spectroscopy broadens and the fragility of the glass-former diminishes. Exceptions to these rules are interesting and will be discussed in detail. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S29.00007: Localization Transition of the Three-Dimensional Lorentz Model and Continuum Percolation Felix Hoefling, Thomas Franosch, Erwin Frey The localization transition and the critical properties of the Lorentz model in three dimensions are investigated by computer simulations. We give a coherent and quantitative explanation of the dynamics in terms of continuum percolation theory, an excellent matching of both the critical density and exponents is obtained. Upon exploiting a dynamic scaling Ansatz employing two divergent length scales we find data collapse for the mean-square displacements and identify the leading-order corrections to scaling. Our data corroborate a hyperscaling relation that connects dynamic and geometric critical exponents. The non-Gaussian parameter is predicted to diverge at the transition. [F. Hoefling, T. Franosch, and E. Frey, Phys. Rev. Lett. 96, 165901 (2006)] [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S29.00008: Dynamics during a transient gelation process studied by XPCS Andrei Fluerasu, Abdellatif Moussaid, Andrew Schofield, Anders Madsen Photon correlation spectroscopy with partially coherent X-ray beams (XPCS) available at third generation synchrotron sources is an experimental technique that allows the direct measurement of the low frequency microstructural dynamics that are often present in a large class of soft-condensed matter systems. In many such systems and in particular in concentrated disordered systems, at least two distinct relaxation mechanisms can usually be found. The fast(er) ones correspond to the ``trapped'' motion of individual particles or aggregates in ``cages'' created by other particles/aggregates. The slow relaxation modes correspond to the structural re-arrangements of the ``cages''. In this work we report the XPCS study of the structural dynamics associated with the slow collapse of transient gels consisting of mixtures of sterically-stablised polymethylmethacrylate (PMMA) particles and random-coil polystyrene (PS) dispersed in cis-decalin. The intermediate scattering functions change during the process from stretched to compressed exponential decays indicating a jamming of the system in the full aging regime. A complex aging behavior towards the final collapse of the gel is observed and we propose that large scale network deformations trigger an un-jamming process leading to the collapse. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S29.00009: Changing the Packing Fraction by Changing the Geometry: A Hyperbolic Approach to Jamming Carl D. Modes, Randall D. Kamien The jamming transition is an important and active area of current research in condensed matter physics, touching on phenomena from granular matter to supercooled liquids to glasses. Underlying the problem is the need to fully understand the properties of geometrically disordered configurations and their relation to ordered crystalline states, especially in systems where the effect of entropy dominates over that of energy. Of particular interest are systems for which the densities of isostaticity and crystallization are grossly separated, for example, in higher dimensions. In order to probe these systems with the Virial expansion, however, we must require that the onset of isostatic configurations occurs for sufficiently low numbers of simultaneously interacting particles. This leads us to the study of a hard disc fluid on the hyperbolic plane as a function of the curvature. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S29.00010: Packing of Tetrahedral and other Dice Paul Chaikin, Stacy Wang, Alexander Jaoshvili The densest packing of tetrahedra remains an unsolved problem. Recently J. H. Conway, and S. Torquato$^{1}$, presented the densest packing yet found for tetrahedral, a structure which is a modification of packing tetrahedra in an approximation to an icosahedron and then packing the icoshedra. The best packing was under 0.72, considerably less than the (exact) densest sphere packing of 0.7405{\ldots} We have measured the random packing of tetrahedral dice in different sized spherical and cylindrical containers, and extrapolated the results to obtain the packing fraction in the limit of no boundaries. We have also measured their density toward the center of a spherical container away from the walls. Both measurements are similar to previous studies of ellipsoids. We find that the dice pack to better than 0.75. But the dice have very slightly rounded vertices and edges. While the total volume change due to the rounding is less than 0.03 (and in the direction to make a larger difference between crystal and random packing), it is difficult to approximate the effect of the rounding. We discuss the relative packings and the nature of the inter-di contacts. 1. J. H. Conway, and S. Torquato, PNAS, \textbf{103}, 10612-10617, (2006) [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S29.00011: Elastic heterogeneity of soft random solids Xiaoming Mao, Paul M. Goldbart, Xiangjun Xing, Annette Zippelius The spatial heterogeneity of amorphous solids, which records the randomness present at the solidification transition, confers heterogeneity on elastic properties. Especially for soft random solids, which have exceptionally small shear modulus due to the large thermal fluctuations in the positions of the particles, this elastic heterogeneity exhibits interesting long-range correlations. We examine elastic heterogeneity in soft random solids via a two-pronged approach [1]. First, we examine a phenomenological elastic free energy, featuring a quenched random kernel, which induces randomness in the residual stress and Lame coefficients. Second, we explore a semi-microscopic model network using replica statistical mechanics. This model has a vulcanization transition, and the associated Goldstone fluctuations characterize shear deformations and can reproduce the phenomenological model. Via this correspondence we infer the statistical properties of the elastic heterogeneity, finding that correlations involving the residual stress are long-ranged and governed by a universal parameter that also gives the mean shear modulus. This statistical characterization allows the construction of the statistics of non-affine deformations in soft random solids. [1] Xiaoming Mao, Paul M. Goldbart, Xiangjun Xing and Annette Zippelius, cond-mat/0610407. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S29.00012: The jamming transition and beyond: Density dependence of the relevant length and time scales in a horizontally vibrated granular monolayer Frederic Lechenault, Olivier Dauchot A dense amorphous monolayer of hard disks is horizontally driven by a glass plate oscillating underneath while confined in a fixed rectangular cell. As the packing fraction is decreased, the system exhibits a transition between a totally jammed state in which the pressure is driven by the contact network and a ``supercooled'' regime in which the kinetic contribution becomes dominant. We characterize the diffusion properties of such packing across the transition. Furthermore, we compute the self- intermediate scattering function $F_{s}(\tau,\bf{k})$ and the so- called dynamical susceptibility $\chi_4(\tau,\bf{k})$. First we show that the former scales with the diffusive length. Then we find that the cooperative scale associated to the latter increases as the packing is increased toward the transition and then drops abruptly as a certain critical density $\phi_c$ is crossed. Finally we uncover a relationship between $F_{s}$ and $\chi_4$ and discuss its link with a dynamical fluctuation dissipation relation. [Preview Abstract] |
Session S30: Monolayers, Membranes & Microemulsions
Sponsoring Units: DFDChair: Alex Levine, University of California, Los Angeles
Room: Colorado Convention Center 304
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S30.00001: Structure, Wrinkling, and Reversibility of Langmuir Monolayers of Gold Nanoparticles Binhua Lin, David Schultz, Xiao-Ming Lin, Dongxu Li, Mati Meron, Jeff Gebhardt, P. James Viccaro The assembly of nanoparticles into large, two-dimensional structures provides a route for the exploration of collective phenomena among mesoscopic building blocks. We characterize the structure of Langmuir monolayers of dodecanethiol-ligated gold nanoparticles with \textit{in situ }optical microscopy and X-ray scattering. The interparticle spacing increases with thiol concentration and does not depend on surface pressure. The correlation lengths of the Langmuir monolayer crystalline domains are on the order of five to six particle diameters. Further compression of the monolayers causes wrinkling; however, we find that wrinkled monolayers with excess thiol can relax to an unwrinkled state following a reduction of surface pressure. A theoretical model based on van der Waals attraction and tunable steric repulsion is adopted to explain this reversibility. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S30.00002: Experimental and theoretical studies of collapsed fatty-acids Langmuir monolayers Wei Bu, Chris Lorenz, Alex Travesset, David Vaknin, Sushil K. Satija Long-chain Langmuir monolayers collapse by exploring the third dimension after being compressed beyond the point of densely packed chains. Recent experimental investigations using surface sensitive X-ray and neutron techniques have shown that arachidic acid (AA) monolayers, spread on pure water surfaces, collapse by forming a trilayer structure that exhibits a remarkable degree of crystalline order. Similar experiments of AA spread on CaCl$_2$ solutions show that the collapsed film consists of a mixture of hydrophobic bilayer domains (where hydrocarbon chains are in contact with water) and trilayer domains. Under suitable experimental conditions, monolayer collapse on CaCl$_2$ solution can produce an almost pure bilayer phase. We present atomistic simulations that account for the role of water, ion binding, and hydrocarbon chain conformations to better understand these experimental results. [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S30.00003: Dynamic spiral patterns in Langmuir monolayers of chiral molecules Lena Lopatina, Jonathan V. Selinger Experiments with Langmuir monolayers of chiral molecules on a water surface report a collective propeller-like precession of the molecules due to the evaporation of water [1]. If the molecular orientation is pinned along an edge, the precession leads to a series of stripes along the edge. This pattern formation has been explained by a dynamic equation due to the Lehmann effect [2]. Here, we consider how the patterns change if the monolayer contains vortices, topological defects which pin the molecular orientation. We model an annular ring with a single vortex at its center, and show that the director field forms a spiral centered at the defect, which reverses handedness between the inner and outer boundaries. We also simulate a system with one vortex and one anti-vortex on a lattice, and find that the defects form spirals with opposite handedness. These analytic and computational results are in good agreement with preliminary experiments [3]. \newline [1] Y. Tabe, H. Yokoyama, Nat. Mater. \textbf{2}, 806 (2003). \newline [2] D. Svensek, H. Pleiner, H. R. Brand, Phys. Rev. Lett. \textbf{96}, 140601 (2006). \newline [3] K. A. Suresh, private communication. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S30.00004: Effects of topology and curvature on the hydrodynamics of membranes and interfaces Mark L. Henle, A.J. Levine, Ryan McGorty, A.D. Dinsmore Understanding membrane and interfacial hydrodynamics is vital for a variety of biological systems and technological applications. Within the cell membrane, for example, the diffusion of proteins is essential for cell-cell signaling. For many of these applications, the membrane/interface is spherical. Such a geometry imposes a \textit{global} topological constraint that, for instance, forces the velocity field on an incompressible membrane to have two vortices. In addition, the \textit{local} membrane curvature strongly modifies particulate transport when it is comparable to the Saffman-Delbr\"{u}ck length (the ratio of the membrane viscosity to the viscosity of the surrounding fluid). In this talk, we present both experimental and theoretical results on the motion of extended objects (rods) in spherical membranes. The experiments investigate the motion of colloidal rods trapped on the surface of a water-in-oil droplet decorated with nanoparticles; the analytic theory solves for the rod mobility as well as the flows in the membrane and the surrounding fluids caused by the motion of such rods. We find that the topology of the membrane can indeed have a significant effect on the dynamics of the rod, and that our theoretical description agrees quantitatively with the experimental results. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S30.00005: Langmuir-Gibbs Surface Phases and Transitions Benjamin Ocko, Eli Sloutskin, Zvi Sapir, Lilach Tamam, Moshe Deutsch, Colin Bain Recent synchrotron x-ray measurements reveal surface ordering transitions in films of medium-length linear hydrocarbons (alkanes), spread on the water surface. Alkanes longer than hexane do not spread on the free surface of water. However, sub-mM concentrations of some anionic surfactants (e.g. CTAB) induce formation of thermodynamically stable alkane monolayers, through a ``pseudo-partial wetting'' phenomenon[1]. The monolayers, incorporating both water-insoluble alkanes (Langmuir) and water-soluble CTAB molecules (Gibbs) are called Langmuir-Gibbs (LG) films. The films formed by alkanes with $n \leq 17$ exhibit ordering transition upon cooling [2], below which the molecules are normal to the water surface and hexagonally packed, with CTAB molecules randomly mixed inside the quasi-2D crystal. Alkanes with $n>17$ can not form ordered LG monolayers, due to the repulsion from the $n=16$ tails of CTAB. This repulsion arises from the two chains' length mismatch. A demixing transition occurs upon ordering, with a pure alkane quasi-2D crystal forming on top of disordered alkyl tails of CTAB molecules. [1] K.M. Wilkinson \emph{et al.,} \emph{Chem. Phys. Phys. Chem.} \textbf{6}, 547 (2005). [2] E. Sloutskin, Z. Sapir, L. Tamam, B.M. Ocko, C.D. Bain, and M. Deutsch, \emph{Thin Solid Films}, in press; K.M. Wilkinson, L. Qunfang, and C.D. Bain, \emph{Soft Matter} \textbf{2}, 66 (2006). [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S30.00006: Electrostatics of planar interfaces in salt solution William Kung, A.W.C. Lau, Monica Olvera de la Cruz We present an exact field-theoretic formulation for a fluctuating, generally asymmetric, salt density in the presence of a charged plate. The non-linear Poisson-Boltzmann equation is obtained as the saddle-point of our field theory action. Focussing on the case of symmetric salts, we systematically compute, in the weak-coupling limit, first-order correction to the free energy density, arising from electrolyte fluctuation, which can be explicitly obtained in closed form. We find that for systems with moderate salt density, fluctuation corrections to the free energy depends sensitively on the salt concentration as well as their charge valency. Further, we find that electrolyte fluctuation leads to a reduced electrostatic repulsion between two point charges when they are close to the plate. We also consider the application to interfaces separating two semi-infinite regions of different dielectric media. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S30.00007: Crystallography on Curved Surfaces Vincenzo Vitelli, Julius Lucks, David Nelson We present a theoretical and numerical study of the static and dynamical properties that distinguish two dimensional curved crystals from their flat space counterparts. Experimental realizations include block copolymer mono-layers on lithographically patterned substrates and self-assembled colloidal particles on a curved interface. At the heart of our approach lies a simple observation: the packing of interacting spheres constrained to lie on a curved surface is necessarily frustrated even in the absence of defects. As a result, whenever lattice imperfections or topological defects are introduced in the curved crystal they couple to the pre-stress of geometric frustration giving rise to elastic potentials. These geometric potentials are non-local functions of the Gaussian curvature and depend on the position of the defects. They play an important role in stress relaxation dynamics, elastic instabilities and melting. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S30.00008: The use of specular reflectivity of neutrons for the investigation of polymeric membranes Guedioura Bouzid, Bendjaballah Noueddine, Hamdi Maamar, Kerdjoudj Hacene A polymeric thin film membrane 5$\mu $m and 0.5$\mu $m thickness was prepared in order to study its physical characteristics with the neutron reflectivity method. The membrane is principally made of cellulose acetate (CA) matrix in within we fix the carrier. The neutron reflectivity profiles versus the momentum transfer measurements are done using Nur reflectometer. The vertical neutron reflectometer at Nur Reactor Algeria utilizes a fixed wavelength of 0.47nm and $^{3}$He gas detector; neutrons from the radial beam are reflected by one pyrolytic graphite crystals. Maximum thermal flux, after the monochromator, amounts to approximately 4.0*10$^{4}$ neutrons/cm$^{2}$s. The fit of the experimental data was made using the parett32 software program developed at H.M.I. This program computes optical reflectivity; both for neutron and X- ray, using different models based on momentum transfer Q values or fit measured sets of data. Calculations are carried out by the dynamical approach. The information, not only on layers thicknesses, but also on detailed shape of the scattering density profile is obtained. In a second part, the thin film membrane is submerged in an ionic solution of ZnCl$_{2}$ at 0.1 mole, this investigation revealed a modification of some internal layers of the membrane. Results are presented for the characterization of a membrane. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S30.00009: Coexistence of two colloidal crystals at the nematic liquid crystal-air interface A. Nych, V. Pergamenshchik, U. Ognysta, B. Lev, V. Nazarenko, M. Skarabot, I. Musevic, O. Lavrentovich Glycerol droplets at a nematic liquid crystal - air interface form two different lattices -- hexagonal and dense quasihexagonal -- which are separated by the energy barrier and can coexist. The director distortions around each droplet form an elastic dipole. The first order transition between the two lattices is driven by a reduction of the dipole-dipole repulsion through reorientation of these dipoles. The elastic-capillary attraction is essential for the both lattices. The effect has a collective origin. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S30.00010: ABSTRACT WITHDRAWN |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S30.00011: Nanoparticle-coated liquid-metal droplets: interfacial tension and electron transport across the interface Kan Du, B. Samanta, L. Glogowski, V. Rotello, M. Tuominen, T. Emrick, T. Russell, A. Dinsmore We form stable droplets of molten metal, investigate their stability, and demonstrate their potential for forming electronic devices. Droplets of liquid Ga, 0.1-100 microns in diameter, are suspended in water and stabilized by Au or Fe$_{3}$O$_{4}$ nanoparticles. We measure a large reduction of the surface tension of the Ga droplets when nanoparticles assemble at the interface. To investigate electron transport through the Ga-nanoparticle-Ga junction, we deposit coated droplets on substrates with patterned electrodes. We apply a bias voltage to the electrodes and measure the current after evaporation of the solvent. The nonlinear I-V curve shifts with a gate voltage and indicates a transistor is formed in the junction. Improved understanding of the electrical characteristics may allow inexpensive assembly of a large number of functional devices. We acknowledge support from the Center for UMass/Industry Research on Polymers (CUMIRP) and from NSF NIRT program (CTS-0609107). [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S30.00012: Strong Attractions with Controllable Size between Hydrophilic Inorganic Macroanions and Reversible Supramolecular Formations Melissa Kistler, Anish Bhatt, Guang Liu, Tianbo Liu The polyoxometalate (POM) hydrophilic macroionic solutions, offer a direct connection between traditional fields of simple inorganic ions, colloidal suspensions, polyelectrolytes, particularly proteins and DNAs. Many types of POM macroanions are highly soluble, but undergo reversible self-assembly to form uniform, stable, soft, single-layer vesicle-like ``blackberry'' structures containing $>$1000 individual POMs in dilute solutions. Blackberry structures represent a new state of soluble inorganic ions. The driving forces of the POM self-assembly are unlike those of surfactant micelles or colloid aggregates. The POM driving forces are most likely counterion-mediated attraction (like-charge attraction). Blackberry size is controlled by the solvent quality, or the charge density of macroions. Blackberry structures may be analogous to virus shell structures formed by capsid proteins. Unexpected phenomena have been observed in the novel POM systems. References: JACS. 2005, 127, 6942; 2003, 125, 312; 2002, 124, 10942. Nature, 2003, 426, 59. J. Clust. Sci, 2006, 17, 427. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S30.00013: Imaging the structure of water near hydrophobic solutes Gerard C. L. Wong, Robert H. Coridan, Ghee Hwee Lai, Nathan S. Schmidt, Michael Krisch, Peter Abbamonte Theoretical studies of the structure of interfacial water on the surface of hydrophobic solutes show a strong dependence on the radius of the solute itself. At small radii, a hydrogen-bond network is still capable of forming around the solute, generally forbidding association between the solute molecules. At large radii water can no longer form a hydrogen-bond network around the solute molecule, resulting in the ``drying'' of the surface and a strong attraction between solute molecules. The crossover length between the two regimes is on the order of a nanometer. We will show that it is possible to make movies of water around hydrophobic solutes of varying size by extracting the density propagator from the dynamical structure factor measured via high-resolution inelastic x-ray scattering spectra at 3rd generation synchrotron sources. [Preview Abstract] |
Session S31: Quantum Fluids
Sponsoring Units: DCMPChair: Yoonseok Lee, University of Florida
Room: Colorado Convention Center 401
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S31.00001: High Frequency Transverse Acoustics in Superfluid $^{3}$He John P. Davis, Hyoungsoon Choi, Johannes Pollanen, William P. Halperin Acoustic studies of superfluid $^{3}$He have a rich history and have contributed significantly to our understanding. Recently we have made technical advances that have allowed us to extend transverse acoustic measurements to higher frequencies. We will discuss these techniques, as they are applicable to a broad range of acoustic measurements. The relevant frequency in superfluid $^{3}$He is associated with the pair energy, which varies from 69 to 194 MHz in the range of 0 to 34 bar. With our improved acoustic response, up to the 200 MHz range, we can probe the structure of the order parameter deep into the superfluid at higher pressures than before. This has allowed us to make precision measurements of one of the collective modes of superfluid $^{3}$He, the Imaginary Squashing Mode [1]. From these measurements we have extracted values for the strength of $f$-wave pairing interactions in this dominantly $p$-wave superfluid. We will discuss our results in comparison with earlier measurements from the Acoustic Faraday Effect [2]. [1] J.P. Davis, H.Choi, J. Pollanen, and W.P. Halperin, Phys. Rev. Lett. \textbf{97}, 115301 (2006). [2] Y. Lee, T.M. Haard, W.P. Halperin and J.A. Sauls, Nature \textbf{400}, 431 (1999). [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S31.00002: High Porosity Silica Aerogels Engineered for Superfluid $^{3}$He Research J. Pollanen, S. Blinstein, H. Choi, J.P. Davis, T.M. Lippman, K.R. Shirer, W.P. Halperin, L.B. Lurio Silica aerogel is a network of strands with a diameter of 3 nm and average separation \textit{$\xi $}$_{a}\approx $ 30 -- 100 nm. Low-density aerogel can be used to introduce disorder in superfluid $^{3}$He because the superfluid coherence length is of the same order as \textit{$\xi $}$_{a}$. We have developed novel sample growth and preparation techniques for producing aerogels for a variety of measurements on superfluid $^{3}$He. In particular, it has been proposed that anisotropic aerogels can be used to understand the stability of the A-like superfluid $^{3}$He phases [1, 2]. We can introduce anisotropy in aerogel on length scales relevant to superfluid $^{3}$He. Anisotropy can be induced with uniaxial strain, or alternatively, during growth and drying stages. We have performed small angle x-ray scattering to probe these two types of anisotropy and find that uniaxial strain can be used to tune between them. [1] C.L. Vicente, \textit{et al.}, \textit{Phys. Rev. B.} \textbf{72}, 094519 (2005). [2] K. Aoyama and R. Ikeda, \textit{Phys. Rev. B} \textbf{73}, 060504(R) (2006). [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S31.00003: Understanding Superfluid $^{3}$He by Determining \textit{$\beta $}-Coefficients of Ginzburg-Landau Theory H. Choi, J.P. Davis, J. Pollanen, W.P. Halperin The Ginzburg-Landau (GL) theory is a phenomenological theory that is used to characterize thermodynamic properties of a system near a phase transition. The free energy is expressed as an expansion of the order parameter and for superfluid $^{3}$He there is one second order term and five fourth order terms. Since the GL theory is a phenomenological theory, one can determine the coefficients to these terms empirically; however, existing experiments are unable to determine all five fourth order coefficients, the \textit{$\beta $}'s. To date, only four different combinations of \textit{$\beta $}'s are known [1]. In the case of supeprfluid $^{3}$He, using quasiclassical theory, the coefficients have been calculated [2]. We used the calculation as a guide to construct a model to define all five \textit{$\beta $}'s independently. The model provides us with the full understanding of the GL theory for $^{3}$He, which is useful in understanding various superfluid phases of both bulk $^{3}$He and disordered $^{3}$He in aerogel. \newline [1] H. Choi \textit{et al}., J. Low Temp. Phys., submitted; http://arxiv.org/abs/cond-mat/0606786. \newline [2] J.A. Sauls and J.W. Serene, Phys. Rev. B \textbf{24}, 183 (1981). [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S31.00004: Anisotropic properties of superfluid $^3$He near free surface studied by surface electrons Kimitoshi Kono, Hiroki Ikegami We performed conductivity measurements of the Wigner solid on the surface of superfluid $^3$He-A and B phases under magnetic fields. The $^3$He-A phase has a nodal point of energy gap at North and South Poles of the Fermi sphere and is anisotropic. A unit vector directing from the South Pole to the North Pole is refered to as the $\hat{\mbox{\boldmath$\ell$}}$ vector. The $\hat{\mbox{\boldmath$\ell$}}$ vector tends to align parallel to a surface normal. The conductivity of the Wigner solid is sensitive to the quasiparticle distribution, and hence, sensitive to the alignment of the $\hat{\mbox{\boldmath$\ell$}}$ vector. Our observation is in good agreement with the abovementioned picture of the $\hat{\mbox{\boldmath$\ell$}}$ vector alignment. In the B-phase the situation is more subtle. Nevertheless, our observation gives a strong support for the present understanding of the magnetic-field-induced anisotropy of the B-phase. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S31.00005: Ultrasound Propagation in the Normal State of Liquid $^{3}$He/ 98{\%} Aerogel. H.C. Choi, N. Masuhara, B.H. Moon, P. Bhupathi, M.W. Meisel, Y. Lee, N. Mulders We studied the propagation of longitudinal sound in the normal state of liquid $^{3}$He/ 98{\%} aerogel at 9.5 MHz. The absolute attenuation and sound velocity were determined by direct propagation of sound pulses through the medium. Our measurements cover a wide range of temperatures from 2 mK to 200 mK at three different pressures (10, 21 and 29 bars). As reported by Nomura \textit{et al}., the sound mode remains in the hydrodynamic limit down to 2 mK due to the impurity scattering off the aerogel. However, we observed a new feature in the high temperature range that the attenuation shows a minimum and increases at high temperature. The minimum (T$_{M})$ occurs around 60 mK at 10 bars and moves to 40 mK at 29 bars. For T $>>$ T$_{M}$, the attenuation at high temperature shows a T$^{0.7}$ dependence for all pressures. We will discuss our observations in the framework of theories proposed by Higashitani \textit{et al.} and Biot. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S31.00006: Mass of a quantized vortex David Thouless, James Anglin There have been many discussions of the mass of quantized vortices in superfluids, but different conclusions have been reached. There is a consensus that vortex mass diverges in compressible superfluids. We have studied the vortex mass in an incompressible quantum fluid by considering a vortex driven slowly round a circular orbit, treating frequency and speed as small parameters. The centrifugal force measures the vortex mass. If a vortex is driven by a large-radius repulsive potential its mass is close to the mass of fluid displaced, as in classical hydrodynamics, but for small pinning radius the mass diverges as the logarithm of the pinning radius. It can be argued that this logarithmic dependence on the pinning radius is a general feature of models of quantized vortices. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S31.00007: Vortex stability influenced by surface topology I. Neumann, P. Voll, N. ApRoberts-Warren, R.J. Zieve We examine the stability of a pinned superfluid helium vortex line. The vortex pins around a thin wire, which terminates at each end at either a rounded bump, a conical indentation, or a flat surface. With the cryostat stationary, we measure the persistence of the vortex. With no external disturbance, it remains indefinitely. We briefly heat the cell and find the temperature at which the vortex depins. By observing the vortex motion after it partially detaches from the wire, we can determine at which terminus it detached. We find that pinning terminating at a bump is generally the easiest to overcome thermally, and pinning at a flat end is the hardest. This pattern would not be expected from considerations of vortex line energy alone. We take the observations as evidence of an additional contribution to the pinning energetics. One possibility is an interaction of the vortex with the curvature of the containers surface which favors pinning at points of negative Gaussian curvature, making the bump terminus a less advantageous pin site. The combined effects of vortex line energy and this surface curvature interaction may explain the observed vortex depinning behavior. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S31.00008: Energy Loss from Reconnection with a Vortex Mesh Rena Zieve, Ingrid Neumann We have observed experimentally that a vortex moving in a cylindrical cell loses energy up to eight orders of magnitude more rapidly than expected from bulk mutual friction alone. Here we investigate the possibility that reconnections with a mesh of small vortices pinned to the cell wall dominate the energy loss. Such pinned vortices may be an unavoidable consequence of rotating the cryostat. Once rotation ceases, most vortices move to the cell wall and annihilate, leaving behind fragments pinned at any microscopic wall roughness. We simulate the situation by requiring the free vortex to move at the local superfluid velocity, and by allowing for reconnections when two vortices approach closely. To keep the simulation run time practical, we use an artificially high friction coefficient. We find that as the vortex moves, reconnections with pinned vortices can reduce its length, and hence its line energy. The energy dissipation of the vortex moving through the mesh can exceed the loss rate from mutual friction by 50\% to 100\%. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S31.00009: Phase-slip avalanches in the superflow of $^4$He through arrays of nano-apertures David Pekker, Roman Barankov, Paul M. Goldbart Recent experiments have explored the dynamics of $^4$He superflow through an array of nano-apertures~[1]. These experiments have found that, as the temperature is lowered, phase-slippage in the apertures changes its character from synchronous to asynchronous. Here, we construct a model~[2] of the superflow that incorporates two basic ingredients: (a)~disorder associated with each aperture having its own random critical velocity, and (b)~an effective inter-aperture coupling, mediated through the bulk superfluid, which stimulates the apertures in the neighborhood of an aperture that has already phase-slipped also to slip. We find that at lower temperatures the synchronicity is lost, due to broadening of the distribution of the critical velocities associated with the reduction of the superfluid healing length. We also observe that as the disorder becomes weak, compared to the inter-aperture coupling, there is a non-equilibrium transition from a regime of small phase-slip avalanches to a regime in which interactions between phase-slips in nearby apertures lead to system-wide phase-slip avalanches. \newline [1] Y. Sato, E. Hoskinson, and R. E. Packard, Phys. Rev. B {\bf 74}, 144502 (2006).\newline [2] D. Pekker, R. Barankov, and P. M. Goldbart, cond-mat/0606560. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S31.00010: Thinning of superfluid films: critical effects immediately below the $\lambda$ point Aviva Shackell, Roya Zandi, Joseph Rudnick, Mehran Kardar, Lincoln Chayes Experiments on $^4$He films reveal the presence of an attractive Casimir-like force at the bulk $\lambda$-point and in the superfluid regime. We address the unexpectedly large magnitude of that force in the regime immediately below the $\lambda$ point. A simple mean field calculation incorporating the appropriate boundary conditions and adjusted for the renormalizing effects of critical fluctuations points to the source of this dramatic behavior. We find that the location of the minimum of the scaling function is at $x=tL^{1/\nu}=-\pi^2$ in excellent agreement with the experimental finding of $x=tL^{1/\nu}=-9.7 \pm 0.8 $. Other aspects of the effective force induced by critical fluctuations will also be discussed. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S31.00011: Superfluid p-H$_{2}$ Monolayer in Carbon Nanostructures Milen Kostov A fluid of para-hydrogen (p-H$_{2})$ molecules is a prime candidate for potential superfluid, due to the light mass (half the mass of helium) and the existence of a compound boson ground state. In bulk p-H$_{2}$ superfluidity is not observed because, unlike helium, molecular hydrogen solidifies at a temperature (triple point T=13.8 K) significantly higher than that (T$\sim $2K) at which such phenomena as Bose Condensation and, possibly, superfluidity (SF) might occur. This is due to the fact that H$_{2}$- H$_{2}$ interaction is significantly stronger than the He-He one (more than a factor of three in the well depth). One way to attain a liquid ground state at low T is to reduce the effective attraction between the H$_{2}$ molecules. Here a novel solution to the problem is proposed, which implies that a SF monolayer p-H$_{2}$ can be achieved in a carbon slit-pore with height $H\sim $5.8 {\AA}, where the alignment of the graphitic planes corresponds exactly to the AB stacking sequence in a pristine hexagonal graphite crystal. Our approach is based on the idea to attain a liquid ground state of p-H$_{2}$ monolayer at low T (T$\sim $2K), through a substantial renormalization of the pair interaction of p-H$_{2}$ molecules due to their interaction with the surface electrons of the carbon slit pore. In this environment, the resulting \textit{de Boer quantum parameter }\textit{$\eta $} for the adsorbed p-H$_{2}$ film lies in the vicinity of the threshold value for zero-temperature Bose liquid. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S31.00012: Properties of Helium-4 In and Near the Self-Organized Critical State S.T.P. Boyd, D.A. Sergatskov, R.V. Duncan If a downward heat flux is imposed on a sample of $^{4}$He near $T_{\lambda}$, the sample can self-organize so that its temperature tracks the variation of $T_{\lambda}$ induced by the hydrostatic pressure head. This ``Self-Organized-Critical'' (SOC) state is the only means by which a uniform reduced temperature very close to $T_{\lambda}$ can be achieved on Earth in $^{4}$He. We recently reported preliminary analysis of extensive new measurements of the SOC state showing three new results: strong nonlinearity in the upward-going wave under high drive levels, the qualitative form of the breakdown of the SOC state with increasing downward heat flux greater than $\sim $12$\mu $W/cm$^{2}$, and, most intriguingly, we have corroborated and extended the as-yet unexplained result of Lee \textit{et al.} that the thermal resistivity of helium-II near $T_{\lambda }$ is larger under downward heat flux than it is under upward heat flux of equal magnitude (upward resistivity measured previously by Baddar \textit{et al.}). We find that the ``downward'' resistivity exceeds the ``upward'' by factors ranging from 18X at 20$\mu $W/cm$^{2}$ to 12X at 80$\mu $W/cm$^{2}$. Here we report results of further analysis which help to quantify and flesh out this intriguing picture. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S31.00013: High Tc Magnet Leads for Research Cryostats Yuko Shiroyanagi, Gokul Gopalakrishnan, Sanghun An, Thomas Gramila The incorporation of high temperature superconducting wires in cryogenic systems has almost exclusively been in those systems with active cryocoolers, or when very high currents are necessary. Despite their obvious advantages, however, various properties of the wires have precluded their use in typical liquid Helium research cryostats. We report here the successful implementation of these wires into a research cryostat magnet lead design, and will discuss design features, aspects of assembly, and characterization of the lead system. The overall design is based on a baffle cooled approach [1] for removing heats from the leads, whose development involved careful numerical modeling. The design approach used for the Hi-Tc magnet lead system leverages this capability to address the various problems associated with superconducting wires, permitting their incorporation. \newline [1] Y.Shiroyanagi, G. Gopalakrishnan, S.An and T.J. Gramila, ``Novel Approach for Magnet Leads,'' submitted to JLTP. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S31.00014: Wave-function approach for a rotating fermionic superfluid Victor Vakaryuk Rotation of a neutral fermionic superfluid in annular geometry is considered using Gross-Pitaevskii ansatz for the wave function of the system. It is shown that, in the thermodynamic equilibrium, the rotation frequency at which transition between different total angular momentum states occurs is independent on interparticle interactions assuming they are central. The question of whether or not the equilibrium state of a superconductor in a magnetic field corresponds to rotation is also addressed. [Preview Abstract] |
Session S32: Slow Molecular Beams and Quantum Optics
Sponsoring Units: DAMOPChair: John Bohn, University of Colorado
Room: Colorado Convention Center 402
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S32.00001: Slow beams of molecules with masses up to 6000 u Hendrik Ulbricht, Sarayut Deachapunya, Andre Stefanov, Markus Arndt Slow molecules are desirable for various experiments, among them matter wave interferometry, precision metrology, collision studies and the improved control in the deposition of molecular nanopatterns. Here we report on effusive beams of intact per-fluorinated molecules with masses up to 6000 u and beyond. The molecules in these beams are observed to have a mean velocity of down to 30 m/s. And the mass selected signals of the post-ionized particles are so high that even molecules with a longitudinal velocity as low as 10 m/s and with a transverse velocities below 10 cm/s can still be detected. We discuss potential strategies and applications for further slowing, trapping and focusing of these molecules. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S32.00002: Magnetic trapping of Stark decelerated OH Benjamin Lev, Brian Sawyer, Eric Hudson, Benjamin Stuhl, Manuel Lara, Josh Dunn, Chris Greene, John Bohn, Jun Ye Ultracold, ground state polar molecules promise to revolutionarily impact AMO physics with the study of ultracold molecular collisions and quantum chemistry, implementation of quantum information processing, and the possibility of lattice-spin model simulations. Our research has focused on the use of a Stark decelerator to slow a supersonic expansion of OH. At a mean packet velocity of 20 m/s, we obtain a $\sim$10 mK sample at densities greater than $10^5$ cm$^{-3}$. The decelerator terminates at an anti-Helmholtz coil pair which we have used to demonstrate magnetic trapping of the polar molecule OH in the presence of tunable electric fields. We will present our latest results on trapping dynamics as well as discuss the feasibility of molecular cavity-assisted laser cooling, which may provide access to the ultracold regime. [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S32.00003: ABSTRACT WITHDRAWN |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S32.00004: Semi-Classical Theory of Radiation Pressure Cooling of a Mechanical Oscillator by dynamical Backaction Nima Nooshi, Tobias Kippenberg Laser cooling of the thermal motion of mechanical oscillators has been predicted by Braginsky almost three decades ago, and has recently been demonstrated experimentally for the first time in a series of experiments. Cooling arises when the mechanical oscillator is coupled to an optical high finesse cavity, which causes the mechanical mode to be viscously damped on the red detuned optical sideband. The ultimate goal of these experiments is to reach the quantum ground state of the mechanical oscillator. Using a semiclassical theory for radiation pressure induced laser cooling, and taking into account the quantum back action of the radiation field on the mechanical oscillator noise spectrum, we derive the conditions for which ground state cooling is possible. In addition we elucidate the physical origin of the cooling and identify the similarities with atomic laser cooling. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S32.00005: Cooling of a micro-mechanical oscillator using radiation pressure induced dynamical back-action Albert Schliesser, Nima Nooshi, Pascal Del'Haye, Kerry Vahala, Tobias Kippenberg For more than three decades, dynamical backaction in the form of radiation pressure has been predicted to give rise to intricate coupled dynamics of the optical modes of a high-finesse cavity and the mechanical modes of its boundaries. In particular, if the mechanical oscillation period is comparable to the cavity's photon lifetime, and the cavity is pumped with a red-detuned laser, the Brownian motion of the mechanical mode can be reduced, corresponding to an effective temperature reduction or cooling. We have recently succeeded in an experimental demonstration of this phenomena, and exploited dynamical back-action to cool the radial breathing mode of a toroidal silica microcavity from room temperature to 11 K. Working with distinctively high mechanical frequencies (50 MHz) we can provide strong evidence for a virtually pure radiation-pressure effect. We further introduce a theoretical model to quantitatively predict the light-induced modifications in the mechanical oscillator's properties over a wide range of experimental parameters. These achievements constitute an important step towards ground-state cooling of a micromechanical oscillator. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S32.00006: Stopping Single Photons in One-dimensional Circuit Quantum Electrodynamics Systems Jung-Tsung Shen, Shanhui Fan We propose a mechanism to stop and time-reverse single photons in one-dimensional circuit quantum electrodynamics systems. As a concrete example, we exploit the large tunability of the superconducting charge quantum bit (charge qubit) to predict one-photon transport properties in multiple-qubit systems with dynamically controlled transition frequencies. In particular, two qubits coupled to a waveguide give rise to a single-photon transmission lineshape that is analogous to electromagnetically-induced transparency (EIT) in atomic systems. Furthermore, by cascading double-qubit structures to form an array and dynamically controlling the qubit transition frequencies, a single photon can be stopped, stored, and time-reversed. With a properly designed array, two photons can be stopped and stored in the system at the same time. Moreover, the unit cell of the array can be designed to be of deep sub-wavelength scale, miniaturizing the circuit. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S32.00007: Coherence Investigations of Erbium doped in Waveguide Structures for a Quantum Memory M.U. Staudt, S.R. Hastings-Simon, B. Lauritzen, M. Afzelius, H. de Riedmatten, N. Sangouard, C. Simon, W. Tittel, N. Gisin Erbium doped waveguides are very promising candidates for the realization of a quantum memory based on reversible absorption in a controllably broadened absorption line (CRIB). First of all the wavelength of the ``storage transition'' matches well with the ``telecom wavelength'' most often used for long-distance quantum communications in the past. Secondly the interaction length between light and ions can be made very long within a waveguide. Thus high optical depth can be achieved as required for the proposal. We have measured the homogeneous linewidth of the I$_{15/2} \quad \to $I$_{13/2}$ transition in a Erbium-doped SiO$_{2 }$glass fiber and a LiNOb$_{3}$ Crystal with a waveguiding structure at a wavelength of $\lambda $=1530 nm. The homogeneous lifetime in the glass shows an abnormal magnetic field dependency and is in the order of several $\mu $s, which is an improvement of two orders of magnitude compared to existing data in similar material. Also we investigated the preservation of information encoded into the relative phase and amplitudes of optical pulses during storage and retrieval in an optical memory based on stimulated photon echo. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S32.00008: Dispersive, superfluid-like shock waves in optics Wenjie Wan, Shu Jia, Jason Fleischer Dispersive shock waves arise from nonlinear wave breaking and mode dispersion, and are a fundamental type of fluid behavior in systems with none or near-zero viscosity, e.g. cold plasmas and superfluids. Here, we exploit the well-known (but underappreciated) relation between superfluids and nonlinear optics to study the photonic equivalent of dispersive, dissipationless shock waves. We experimentally demonstrate fundamental shock waves in one and two dimensions, examine their basic nonlinear properties, and observe collisions between two such shocks. We study spectral energy exchange during interactions, and find that energy and momentum transfer depend on details of the collision region. Results can be explained in terms of a nonlinear Huygens' principle, in which linear superposition of initial waves results in a nonlinear source of new shocks. In higher dimensions, wavefront geometry and expansion directions play a significant role. In addition to providing a versatile platform for new photonic physics, it is anticipated that the results reported here will lead to all-optical modeling of even richer (super)fluid-like phenomena in the near future. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S32.00009: Coherent Quantum Engineering of Laser Cooling Josh W. Dunn, Chris H. Greene, J. W. Thomsen, Flavio C. Cruz Doppler laser cooling of two-level atoms is well understood, and has been utilized extensively for decreasing phase-space density of atomic gases. The temperature limit of Doppler cooling is on the order of the excited-state spectral linewidth, and cooling below this limit requires, for example, atomic sublevel degeneracy. Here we present a means of cooling that consists of three internal states of an atom and two lasers of distinct frequency. Employing sparse-matrix techniques, we find numerical solutions to the fully quantized master equation in steady state, allowing straightforward determination of laser-cooling temperatures. We develop a qualitative picture of the mechanism, related to the phenomenon of electromagnetically induced transparency, yielding a cooling scheme in which a dressing laser can be tuned to coherently engineer a two-level quantum system that has desirable Doppler-cooling properties. Effects of the induced asymmetric Fano-type lineshapes affect the detunings required for optimum cooling, as well as the predicted minimum temperatures which can be lower than the Doppler limit for either transition. This work was supported in part by the NSF. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S32.00010: Three Level Systems for Quantum Memories in Erbium Doped Materials Sara Hastings-Simon, Matthias Staudt, Bjoern Lauritzen, Mikael Afzelius, Hugues de Riedmatten, Nicolas Sangouard, Christoph Simon, Wolfgang Tittel, Nicolas Gisin Quantum memories for single photons could play an important role in quantum communication and optical quantum computing. We are working towards the realization of such a quantum memory based on the controlled reversible inhomogeneous broadening (CRIB) of a single absorption line in a rare earth ion. The implementation of the CRIB protocol for such a quantum memory requires a three level system such that the absorption over a broad bandwidth in a material can be greatly reduced via optical pumping to the auxiliary level. We report on the first experimental steps towards the realization of such a three level systems in Erbium doped materials with spectral hole burning techniques. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S32.00011: A Kapitza-Dirac Talbot-Lau interferometer for molecules Stefan Gerlich, Lucia Hackermueller, Fabienne Goldfarb, Klaus Hornberger, Tim Savas, Alexander Stibor, Hendrik Ulbricht, Markus Arndt We present a novel matter-wave interferometer setup which is designed for particles with wavelengths down to 0.5 pm. Such a short wavelength corresponds for instance to a mass of 7000 atomic mass units (amu) at a velocity of 100m/s. Such an advance in mass and complexity can only be accomplished by introducing a standing light wave [1,2,3] to replace the central material grating used in a standard Talbot-Lau interferometer [4]. Light gratings combine high transmission with the absence of the perturbing van der Waals forces otherwise encountered at material gratings. This is particularly desirable for the investigation of the wave-particle duality of large molecules with high polarizabilities. We show the first successful application of this interferometer with C$_{70}$-Fullerenes. Preliminary studies with sources and detection schemes for molecules of up to 7000 amu are very promising for interference experiments with such large and heavy objects in the immediate future. [1] P. Gould et al., Phys. Rev. Lett. 56, 827 (1986) [2] D. Freimund et al., Nature 413, 142 (2001) [3] O. Nairz et al., Phys. Rev. Lett. 87, 160401 (2001) [4] B. Brezger et al., J. Opt. B 5, 82 (2003) [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S32.00012: Quantum phase transitions for light and XY spin models in coupled cavity arrays Dimitris Angelakis, Marcelo Franca Santos, Sougato Bose The realization of insulator to superfluid transitions in optical lattices have opened great possibilities for simulating many body systems. It is thus interesting to explore which other systems permit such phases and simulations, especially if the problem of accessibility of the individual sites is not present. Particularly arresting will be to find such phases in a system of photons which, by being non-interacting, are unlikely candidates for the studies of many-body phenomena. Here we show that a Mott phase can arise in an array of coupled high Q electromagnetic cavities between which photons can hop, when each cavity is coupled to a {\em single} two level system (atom/quantum dot/superconducting qubit). In this phase each atom-cavity system has the same integral number of net (atomic plus photonic) excitations. It occurs for resonant photonic and atomic frequencies when the {\em photon blockade} effect provides an {\em effective repulsion} between the excitations in each atom-cavity system. Detuning the atomic and photonic frequencies suppresses this repulsion and induces a transition from the Mott phase to a photonic superfluid. We show that for zero detuning, the system can simulate the dynamics of an XY spin chain with arbitrary number of excitations. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S32.00013: Moments Formulation of Optical-Pulse Propagation in Insulators David Y. Smith, William Karstens We have developed general expressions for the group velocity and its dispersion in insulators in terms of moments of the material's IR (ionic) and UV (electronic) absorptions. The formulation, which is based on Kramers-Kronig dispersion theory, is independent of material models, and involves only independently measurable quantities. The carrier frequency at which a signal propagates with minimum distortion is determined by the ratio of the first moment of the ionic absorption to the inverse-third moment of the electronic absorption*. This represents a balance between ionic and electronic effects and depends only on their respective contributions to dispersion in the index, not on the magnitude of the refractive index. Physically, minimum distortion corresponds to propagation of a compound ionic-electronic polaron at a frequency for which the ionic and electronic components remain in phase. Applications to silicate-glass fibers will be considered. *This is a generalization of a result given by S. H. Wemple, Appl. Opt. \textbf{18}, 31 (1979). [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S32.00014: Controlled Spontaneous Emission M.A. Rohrdanz, J.-S. Lee, A. Khitrin The problem of spontaneous emission has been studied by numerical simulations. The dynamics of the combined system atom + radiation field, involving up to 15 k field oscillators, has been calculated by direct diagonalization of the Hamiltonian. Optimization of the discrete model's parameters was made by comparing results with the exact solution for the model with equidistant frequencies of the oscillators and equal coupling constants. A numerical approach made it possible to address problems too complex for analytical treatment, which involve interaction with external fields and emission by multi-atom systems. Our major findings are the following. 1) Irradiation by a periodic sequence of laser pulses may shift the frequency in a continuous way by attenuating the power of the pulses. 2) In a two-atom system, the linewidth of the emitted spectrum can be made arbitrary small, and can be regulated by changing a difference between the transition frequencies of the atoms. Therefore, both the frequency and linewidth of spontaneous emission can be controlled. [Preview Abstract] |
Wednesday, March 7, 2007 5:18PM - 5:30PM |
S32.00015: ABSTRACT WITHDRAWN |
Session S33: Physical Implementations of Qubits
Sponsoring Units: GQIChair: Alexander Korotkov, University of California, Riverside
Room: Colorado Convention Center 403
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S33.00001: Integrated Optics Approach to State Manipulation and Detection in Ion Trap Quantum Computation. Jungsang Kim, Changsoon Kim Ions trapped in RF Paul trap represent strong candidate physical system for realizing quantum information processor, evidenced by recent experimental demonstration in long coherence times and high fidelity quantum logic gate operations. The next level of progress requires a much more integrated approach to increase the number of physical qubits in the system, which will enable realization of a complete error-protected qubit. The nature of this challenge is highly technological, and advanced integration technologies can be used to dramatically increase the density of qubits handled in the system. In this paper, we discuss two classes of integrated optics technologies that can be utilized to enable the higher density manipulation of ion qubits. The first is the strategies for using optical micro-electromechanical systems (MEMS) technologies for creating miniaturized optical systems to increase the density of laser beams used to manipulate the ion states. The second is the use of micro-optical components and high efficiency detectors to realize scalable detection of ion states. We will present the estimated optical performance as well as preliminary updates on experimental progress. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S33.00002: Progress towards a multiplexed, semiconductor ion trap for quantum computation David Leibrandt, Robert Clark, Jaroslaw Labaziewicz, Kenneth Brown, Bernard Yurke, Richart Slusher, Isaac Chuang Moving forward from current few-qubit ion trap quantum information experiments to large-scale systems with thousands or more qubits will require multiplexed ion traps scalable to large ion density. Suitable designs have at least two problems relative to the three-dimensional, millimeter scale RF Paul traps used in most ion trap experiments: low trap depth and high heating rates. The standard loading method, electron bombardment of an atomic vapor, becomes inefficient at trap depths below about 1 eV because only the low energy tail of the ion energy distribution is captured and because nearby dielectric surfaces are charged by the electrons. We present alternative loading strategies including an experimental demonstration of loading a printed circuit board surface electrode trap using laser ablation of a metal alloy target which works below 0.5 eV. For $^{88}$Sr$^{+}$ in a particular design of multiplexed ion trap lithographically fabricated on a semiconductor substrate we predict heating rates to be of the order of $10^{3}$ s$^{-1}$ using the results of current experiments and the $d^{-4}$ scaling consistent with patch potentials. We expect a fundamentally limited heating rate of 9 s$^{-1}$ due to resistive thermal fluctuations for this trap. [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S33.00003: High-speed linear optics quantum computing via active feed-forward Robert Prevedel, Philip Walther, Felix Tiefenbacher, Pascal Boehi, Rainer Kaltenbaek, Thomas Jennewein, Anton Zeilinger Quantum computers promise to be more efficient and powerful than their classical counterparts. In the one-way quantum computer model, a sequence of measurements processes qubits, which are initially prepared in a highly entangled cluster state. The key advantage of this scheme over the standard network approach of quantum computing is that inherent, randomly induced measurement errors can classically be fed-forward and corrected by adapting the basis of subsequent measurements. Active feed-forward is therefore crucial to achieve deterministic quantum computing once a cluster state is prepared. We have experimentally realized such a deterministic one-way quantum computation scheme by employing up to three active-switching Electro-Optical Modulators (EOM) in a four-qubit cluster state encoded into the polarization state of four photons. Using these switches we demonstrate deterministic one- and two-qubit gate operations as well as Grover's quantum search algorithm. A major advantage of optical quantum computation is the very short time for one computational step achievable by using these ultra-fast switches. With present technology this feed-forward step can be performed in less than 150 nanoseconds. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S33.00004: High fidelity universal quantum gates using non-adiabatic rapid passage Frank Gaitan, Ran Li Simulation results are presented which suggest that a class of non-adiabatic rapid passage sweeps known from NMR should be able to implement one-qubit Hadamard, phase, and $\pi /8$ gates as well as the two-qubit controlled-phase gate. This set of gates is known to be universal for quantum computation. For each of the gates in this set, sweep parameter values are provided which simulations indicate yield: (i) one-qubit gates that operate with gate error probability $P_{e}< 10^{-4}$; and (ii) a controlled-phase gate for which $P_{e}<2.65\times 10^{-3}$. These sweeps are non-composite and generate controllable quantum interference effects which allow the gates to operate non-adiabatically while maintaining high fidelity. The simulations suggest that the gates produced by these sweeps show promise as possible elements of a fault-tolerant scheme for quantum computing. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S33.00005: A general approach to two qubit gate construction for coupled-qubit models Emily Pritchett, Michael Geller We describe a practical approach for two-qubit gate construction and apply it to a general model of weakly coupled qubits. The procedure involves generating gates from a small set of primitive operations, then comparing their Makhlin invariants to those of the desired target gate. Several new CNOT implementations are found using this method. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S33.00006: Proposal for optical rotations of electron spin trapped in a quantum dot Sophia Economou, Lu Sham, Yanwen Wu, Duncan Steel, Thomas Reinecke The spin of an electron trapped in a semiconductor quantum dot and manipulated optically is an attractive qubit candidate, as it combines the merits of the solid state with those of laser technology. Optical rotation of the electron spin has not been experimentally demonstrated to date. In this work we propose a method for ultra fast U(1) spin rotation based on the analytical properties of the hyperbolic secant pulses. The method is tailored for systems with a dark state, such as the three-level system comprised of the two Zeeman split electronic sublevels and the trion state in GaAs quantum dots. For a system with a higher electronic g factor, such as self assembled InAs quantum dots, extra freedom arising from frequency selectivity allows us to combine these pulses with optically created dark states and design an arbitrary spin rotation through an exact solution of the three-level $\Lambda $ system. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S33.00007: Few Electron Quantum Dots in Si/SiGe Nakul Shaji, Christie Simmons, Levente Klein, Don Savage, Susan Coppersmith, Mark Friesen, Hua Qin, Robert Blick, Mark Eriksson Quantum information processing in silicon-based materials offers potential advantages like low spin orbit coupling and long spin coherence times. We report the fabrication and measurement of few electron quantum dots in strained Si/SiGe heterostructures. The quantum dots are formed by depleting the underlying two-dimensional electron gas using Schottky top gates. The design incorporates a capacitively coupled quantum point contact charge sensor to enable the read out of the number of electrons in the quantum dot. Low-noise measurement through the quantum dot reveals stable coulomb diamonds in the few electron regime. Interesting effects such as Kondo coupling of electron spins with the leads and Fano lineshapes for the coulomb peaks are observed in our measurements. We have investigated in detail the ground state and excited state transport spectroscopy through the quantum dots in the few electron limit at a base temperature of 20mK. In the presence of an external magnetic field (up to 4 Tesla) applied normal to the plane of electron transport we observe shifts in peak height and position enabling a discussion of the nature of these transport channels in our quantum dot. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S33.00008: Quantum gates between capacitively coupled double quantum dot two-spin qubits Guido Burkard, Dimitrije Stepanenko We study the two-qubit controlled-not gate operating on qubits encoded in the spin state of a pair of electrons in a double quantum dot. We assume that the electrons can tunnel between the two quantum dots encoding a single qubit, while tunneling between the quantum dots that belong to different qubits is forbidden. Therefore, the two qubits interact exclusively through the direct Coulomb repulsion of the electrons. We find that entangling two-qubit gates can be performed by the electrical biasing of quantum dots and/or tuning of the tunneling matrix elements between the quantum dots within the qubits. The entangling interaction can be controlled by tuning the bias through the resonance between the singly-occupied and doubly-occupied singlet ground states of a double quantum dot. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S33.00009: Single quantum dot nanowire LEDs Maarten van Kouwen, Ethan Minot, Freek Kelkensberg, Jorden van Dam, Leo Kouwenhoven, Valery Zwiller, Magnus Borgstr\"om, Olaf Wunnicke, Marcel Verheijen, Erik Bakkers Electrically-driven conversion of single electron spins into polarized photons will enable new experiments in the field of quantum information processing. We are developing nanowire light emitting diodes with the goal of combining single electron and single photon control in the same device. We will report on the reproducible fabrication of InP-InAsP nanowire LEDs in which electron-hole recombination is restricted to a quantum-dot sized InAsP section. We have investigated the operation of these nano-LEDs with a consistent series of experiments at room temperature and at 10 K, demonstrating the potential of this system for single photon applications. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S33.00010: Spin qubits in graphene quantum dots Bjoern Trauzettel, Denis Bulaev, Daniel Loss, Guido Burkard We propose how to form spin qubits in graphene. A crucial requirement to achieve this goal is to find quantum dot states where the usual valley degeneracy in bulk graphene is lifted. We show that this problem can be avoided in quantum dots based on ribbons of graphene with semiconducting armchair boundaries. For such a setup, we find the energies and the exact wave functions of bound states, which are required for localized qubits. Additionally, we show that spin qubits in graphene can not only be coupled between nearest neighbor quantum dots via Heisenberg exchange interaction but also over long distances. This remarkable feature is a direct consequence of the quasi-relativistic spectrum of graphene. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S33.00011: Charge sensing in Si/SiGe quantum dots using single electron transistors Feng Pan, Tim Gilheart, Alexander Rimberg, Lisa McGuire, Christie Simmons, Mark Eriksson, Don Savage Silicon-based solid-state qubit schemes have obvious economic appeal as well as compelling physical motivations, such as a long spin-spin dephasing time. Proposed silicon qubit schemes include quantum dots coupled to fast readout devices, such as quantum point contacts or single electron transistors (SETs). Recently, Si/SiGe quantum dots defined by Schottky gates deposited on a Si/SiGe heterostructure containing a high mobility two-dimensional electron gas have been characterized. Here we report the integration of a SET with such a Si/SiGe quantum dot. Recent measurements, including transport and sensing of the dot charge with the SET, will be discussed. [1] Slinker, K. A. et al. New J. Phys. 7 246 (2005) [2] Klein, L. J. et al. J. Appl. Phys. 99, 23509 (2006) [3] Sakr, M. R. et al. Appl. Phys. Lett. 87, 223104 (2005) [4] Berer, T. et al. Appl. Phys. Lett. 88, 162112 (2006) [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S33.00012: Feasibility of the controlled-NOT gate from certain model Hamiltonians Mark W. Coffey, Gabriel G. Colburn There has been much interest of late in characterizing two-qubit operations, optimizing the number of quantum logic gates in small circuits, and developing minimal universal bases of quantum gates. The controlled-NOT (CNOT) gate is widely used in quantum circuits and in current and proposed quantum computing technologies. We investigate the feasibility and minimal implementation of CNOT from specific model Hamiltonian operators that have appeared in the literature. We first address the question whether certain parameterized Hamiltonians can generate a CNOT up to single-qubit gates in a definite time. If so, we determine the time for this unitary evolution. We follow an algebraic approach that provides an analytic solution. Our method has direct relevance to two-qubit Hamiltonians currently being considered for spin-based and superconductivity-based systems for quantum computing as well as to other implementations. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S33.00013: Randomized Benchmarking of Quantum Gates E. Knill, D. Leibfried, R. Reichle, J. Britton, R. B. Blakestad, J. D. Jost, C. Langer, R. Ozeri, S. Seidelin, D. J. Wineland A key requirement for scalable quantum computing is that quantum gates can be implemented with sufficiently low error. One method for determining the error of a gate implementation is to perform process tomography. However, this is limited by errors in state preparation, measurement and one-qubit gates. It suffers from inefficient scaling with number of qubits and does not detect adverse error-compounding. An additional problem is that experimentally proving that error probabilities are below the desirable $0.0001$ is challenging. We describe a randomized benchmarking method that yields estimates of the computationally relevant errors without relying on accurate state preparation and measurement. It also verifies that error behavior is stable when used in long computations. We implemented randomized benchmarking on trapped atomic ion qubits, establishing a one-qubit error probability per $\pi$ pulse below $.01$. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S33.00014: Electrical spin measurements of diffused phosphorous donors in crystalline silicon Heather Seipel, Christoph Boehme With recent experimental demonstration of the electrical detection of electron spins of phosphorous donors as well as their hyperfine coupling to the $^{31}$P phosphorous nuclear spin [Stegner et al., Nature Physics, doi:10.1038/nphys465, (2006).], a potential mechanism for a $^{31}$P in crystalline silicon (c-Si) nuclear spin readout based on spin-dependent $^{31}$P -$\mathrm{P_b}$ recombination is available. To further investigate the properties of this mechanism, we present pulsed electrically detected magnetic resonance (pEDMR) measurements on diffusion doped silicon samples. For their preparation, c-Si (111) wafers are diffused with a profile whose concentration at the surface leads to a degenerately doped c-Si before it then drops off into the semiconducting region. Deep trenches are made with a plasma enhanced reactive ion etch where the choice of the trench depth determines the dopant concentration of the sample without changing any other sample preparation parameters. A study of the qualitative and quantitative nature of the observed pEDMR signals is presented for different etch depths. [Preview Abstract] |
Session S38: Focus Session: Negative Index Materials: Concepts to Applications I
Sponsoring Units: FIAPChair: Stefan Zollner, Freescale Semiconductor
Room: Colorado Convention Center 501
Wednesday, March 7, 2007 2:30PM - 3:06PM |
S38.00001: Plasmolecular Electronics and Photonics Invited Speaker: Metallic nanostructures have received considerable attention for their ability to manipulate light at the nanoscale. Near-field optical measurements and electromagnetic simulations are presented that highlight the limitations and capabilities of such structures to guide, concentrate, and modulate surface plasmon-polaritons (SPPs). Systematic studies on (passive) metallic stripe waveguides are presented that demonstrate that the propagation of electromagnetic energy is mediated by a discrete number of guided SPP modes as well as a continuum of radiation modes. Using a parametric study of the propagation length as a function of stripe width and multimode interference studies, we will also show modal cutoff in narrow stripes. We then continue to show how the unique properties of SPPs and optically or electrically active molecules can be exploited to open up a new area of research: plasmolecular electronics and photonics. Several devices will be discussed that are based on nanoscale metal-molecule-metal junctions with optically active molecules developed for non-linear optics applications, such as spiropyrans and azobenzenes. In these junctions one can control the flow of electrons based on plasmonic signals or control the flow of surface plasmons based on an electronic signals. The implication of these results on the design of future plasmonic components will be discussed, as well as the potential synergy with electronic and photonic device technologies. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S38.00002: New approach to all-angle-negative-refraction in two-dimensional photonic crystals Y. J. Huang, W. T. Lu, S. Sridhar We show that with appropriate surface grating, all-angle-negative-refraction is possible in other frequency windows that were not realized before in two-dimensional photonic crystals. Previous flat lens using photonic crystals requires u+v $<<$ d. Our approach can be used to design flat lens with u+v$>>$d, thus being able to image large and/or far away objects. Our results are confirmed by FDTD simulations. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S38.00003: Molecular Scale Imaging with A Smooth Superlens Nicholas Fang, Pratik Chaturvedi, Wei Wu, ViJay Logeeswaran, Zhaoning Yu, Yi Xiong, Saif Islam, Shih-Yuan Wang, Xiang Zhang Recent theory suggested a novel approach of optical imaging with resolution far beyond the diffraction limit. This can be done simply by exciting quasi-static surface plasmons of a thin silver film, allowing the recovery of evanescent waves in the near field image. Resolution as high as 60 nanometers or 1/6 of wavelength has been achieved experimentally. This unique optical superlens will enable parallel imaging and nanofabrication in a single snapshot, a feat that are not yet available with other nanoscale imaging techniques such as atomic force microscope or scanning electron microscope. In this paper, we demonstrate that such image resolution can be further refined through the use a multilayer superlens. Applying the state-of-the-art nanoimprint technology and surfactant mediated growth of silver film, we show that a smooth superlens can be fabricated with thickness down to 15nm. With optimized design of multilayer superlens (working wavelength of 380 nm), our experimental and numerical results both indicate the feasibility of resolving features of 30nm and below. The development of potential low-loss and high resolution superlens opens the door to exciting applications in nanoscale optical metrology and nanomanufacturing. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S38.00004: Direct Magnetic Resonances with Infrared Light from Plasmonic Single Closed Ring Resonators Zhao Hao, Michael C. Martin, Bruce Harteneck, Stefano Cabrini, Erik H. Anderson, Willie J. Padilla We report here a spectroscopic study on plasmonic ring resonators at grazing angle incidence. With the magnetic component of the infrared light perpendicular to the ring plane (TM), we successfully observed a strong resonance signal at Mid- to Near-IR frequencies. Comparing to simulations, we identify that this signal is due to the resonance of the TM wave with the surface plasmon propagation of the metal rings. We provide a solution to measure direct magnetic resonance by using a grazing incidence objective on a FTIR microscope. We also demonstrate a method to realize magnetic resonance at optical frequencies by channeling the surface plasmon in a closed metallic ring. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S38.00005: Strong Broadband Resonances Observed between 1 and 3 microns from Nanolithographically Fabricated Metallic Metamaterials Michael C. Martin, Zhao Hao, Bruce Harteneck, Alex Liddle, Stefano Cabrini, Willie J. Padilla We report in this talk strong broadband absorption resonances mid- and near-infrared frequencies from our nanometer size metamaterial resonators. We report a systematic study of these resonances with different dimensions of the resonators and their spacing, combined with our theoretical simulations. We will present our experimentally measured reflection at different incidence angles, and transmission of those resonators with different feature sizes and different lattice spacings which control the coupling between neighboring units. We found distinctively strong and broadband resonance in the spectrum of the resonators. We will discuss how our results can be used to introduce strong electric and magnetic responses and could provide a route to broadband negative refraction. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S38.00006: Isotropic optical negative index of refraction metamaterials composed of randomly arranged nanoparticles Adil-Gerai Kussow, Alkim Akyurtlu We report a strategy for achieving fully isotropic negative refraction index in a homogenized composite medium (HCM) conceptualized using both Maxwell-Garnett's and Lewin's effective medium formulations. The HCM consists of two isotropic dielectric-magnetic media (DMM): one DMM (randomly distributed small gold nanoparticles in free space) provides only negative permittivity, and another DMM (spherical SiC particles) provides only negative permeability via the Mie resonance. We prove, in the framework of the effective medium approach, that the mixture of DMMs (with properly adjusted fill factors and sizes of Au and SiC particles) exhibits isotropic negative refraction index metamaterial (NIM) behavior with negative refraction index of in a broad frequency range of the optical part of the spectrum. This result stands for both random distribution of the spherical constituent SiC particles (or Maxwell-Garnett arrangement), and the regular simple-cubic lattice of the same particles (Lewin's arrangement). Due to the high 3D isotropy of both models, both the analytical and numerical solutions of the scattering problems were found to be close to each other, and NIM behavior has been demonstrated. The calculations were carried out accurately taking into account the losses due to both gold and SiC nanoparticles. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S38.00007: Left-handed Metamaterials in actively pumped Host Medium and Plasmonic Nanolaser Andrey Sarychev, Gennady Tartakovsky We consider plasmonic nanoantennas immersed in active host medium. Specifically shaped metal nanoantennas can exhibit strong magnetic properties in the optical spectral range due to the excitation of the Magnetic Plasmon Resonance. A case when a metamaterial comprising such nanoantennas can demonstrate both ``left-handiness'' and negative permeability in the optical range is considered. We show that high losses predicted for optical ``left-handed'' metamaterials can be compensated in the gain medium. We have derived condition under which nanoantennas filled with highly efficient gain medium can demonstrate low absorption or even gain sufficient for lasing. The host medium should have initial gain greater than 10$^{3}$ cm$^{-1}$. We propose plasmonic nanolaser, where the metal nanoantenna operates like a resonator. The size of the proposed plasmonic laser is much smaller than the wavelength. Therefore, it can serve as a very compact source of EM radiation. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S38.00008: Electromagnetic forces in photonic crystals and in metamaterials Manolis Antonoyiannakis, Richard M. Osgood Jr. We investigate numerically the electromagnetic forces induced by a beam of light incident on a thin slab of (i) a photonic crystal (dielectric or metallo-dielectric) and (ii) a metamaterial of negative dielectric properties (negative index n, or individually negative permittivity and/or permeability). For photonic crystals, light excitation of the Mie and/or plasmon resonances of the individual ``atoms'' results in strong forces between the crystal layers. The influence of the band gaps on the forces is also discussed. For metamaterials, the interface forces (on either side of the slab) display an interesting spectrum associated with negative dielectric properties. In both systems, resonant dielectric behavior leads to strong field enhancement, large field gradients, and, consequently, strong electromagnetic forces. We also look at the forces between two slabs of metamaterial, or between alternating slabs of metamaterial and photonic crystal. Our calculations invoke the transfer matrix and FDTD algorithms, and we believe should prove relevant in the manipulation of nanoscale objects by laser light. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S38.00009: All-angle negative refraction and imaging by anisotropic media Yongmin Liu, Dentcho Genov, Cheng Sun, Xiang Zhang We have theoretically studied the optical property of silver/SiO$_{2}$ multilayers, as well as silver nanowires in a SiO$_{2 }$matrix. Under the approximation of the effective media theory, both structures can be described as highly anisotropic uniaxial materials. When the diagonal elements in the electric permittivity tensor of the effective media are opposite in signs, the transverse magnetic (TM) incident light can experience all-angle negative refraction and focusing due to the hyperbolic equal frequency contour. Moreover, this effect can be extended to a broad frequency region by adjusting the filling ratio of metals and the orientation of the structure. Full-wave simulations completely confirm the analytical predictions of the all-angle negative refraction and imaging phenomena. In comparison with left-handed metamaterials and photonic crystals, our approach with artificial anisotropic media opens up a simpler way to manipulate light propagation in the optical region, which has potential applications in photonic devices. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S38.00010: Tunability of Superconducting Split-Ring Resonators With dc And rf Magnetic Fields Michael Ricci, Hua Xu, Steven Anlage, Ruslan Prozorov, Alexander Zhuravel, Alexey Ustinov Superconducting split-ring resonators (SRRs) have lower metallic losses at microwave frequencies than do normal metal SRRs. However, they are very susceptible to slight perturbations in the electromagnetic fields due to nearby wires, SRRs, or even a conducting surface. These perturbations cause shifts in the SRR resonant frequency and degrade the $Q$. Superconducting SRRs may also experience a slight frequency shift, and large suppression of the $Q$, due to variations of the power of the applied electromagnetic wave. Data is shown for a single superconducting SRR in an applied dc magnetic field, and for rf power variations. In the former case, hysteresis was observed in both the resonant frequency and the $Q$, while in the latter case there was no hysteresis, however a large suppression of the $Q$ was observed at high power. Magneto-optical imaging was used to observe locations of vortex entry into the superconducting film, and a laser scanning microscopy measurement was performed to determine the current density profile in the SRR. The results presented may be used to tune the resonant frequency (and permeability) of the SRR to a desired frequency. This work was supported by the NSF, NASU, and DFG. [Preview Abstract] |
Session S39: Focus Session: Hydrogen Storage IV
Sponsoring Units: FIAP DMPChair: Robert Bowman, Jet Propulsion Laboratory
Room: Colorado Convention Center 502
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S39.00001: Thermodynamic and Vibrational Properties of LaTM$_{5}$ (TM = Co, Ni) Hydrides from Density Functional Theory Louis Hector Jr., Jan Herbst Thermodynamic and vibrational properties of La(TM)$_{5}$H$_{n}$ (with TM one of the magnetic transition metals Co or Ni) and their antecedent intermetallics are discussed. Enthalpies of formation, $\Delta $H, are computed with the plane wave density functional method implemented in the Vienna Ab Initio Simulation Package (VASP). All electron projector-augmented wave potentials based upon the generalized gradient approximation are used for the elemental constituents. With suitable supercells, the zero point and finite temperature contributions to $\Delta $H are computed with the direct phonon method using VASP as the computational engine. Phonon dispersion curves and total phonon density of states are examined for soft modes in each compound and important vibrational modes are identified. The computed vibrational spectra for LaCo$_{5}$ and LaCo$_{5}$H$_{4}$ reveal new information on their crystal structures. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S39.00002: Hydrogen Bonding in CaSiH(D)$_{1+x}$: Is there Covalent Character? T. J. Udovic, H. Wu, W. Zhou, J. J. Rush, T. Yildirim We report here our neutron powder diffraction and neutron vibrational spectroscopy study of CaSiH(D)$_{1+x}$ along with first-principles calculations, which reveal the hydrogen structural arrangements and bonding in this novel alloy hydride. Both structural and spectroscopic results show that, for $x>$0, H(D) atoms start occupying a Ca$_{3}$Si interstitial site. The corresponding Si-H(D) bond length is determined to be 1.82~{\AA}, fully 0.24~{\AA} larger than predicted by theory. Here we discuss in detail our neutron spectroscopic measurements, which are also generally at odds with strongly covalent Si-H bonding in CaSiH$_{1+x}$ that such calculations suggest. These results may have implications for a number of ongoing studies of metal-hydrogen systems destabilized by Si alloying. [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S39.00003: Slow H hopping motions in MgH$_{2}$ and alanates M.S. Conradi, Erik Carl, T.M. Ivancic, R.C. Bowman, Jr. Unlike the interstitial (metallic) metal-hydrides, ionic and/or complex hydrides such as MgH$_{2}$ and NaAlH$_{4}$ have very slow rates of H atomic hopping. Because the rates are too small ($<$10$^{5}$ s$^{-1})$ for motional narrowing of the dipolar-broadened H NMR lines, we report here the rates of motion from T$_{1D}$ slow-motion measurements. The activation energy for H motion in MgH$_{2}$ has been determined to be 1.45 eV. In undoped NaAlH$_{4}$, the rate of motion is also thermally activated. In ScCl$_{3}$-doped NaAlH$_{4}$, much faster motion (shorter T$_{1D})$ is found, even at low-temperature. At low-T, the role of rotating AlH$_{6}$ groups formed by partial dehydriding is suspected. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:42PM |
S39.00004: Structure and Bonding in Destabilized Metal Hydrides for Hydrogen Storage. Invited Speaker: Light-metal hydrides possess high hydrogen-storage capacities ($>$ 5 wt.{\%}), but their utility is generally compromised by high thermal stability, rather slow absorption kinetics, and/or problems with reversibility for hydrogen absorption/desorption cycling. There has been great emphasis, particularly in recent years, on attempts to destabilize and otherwise improve the properties of these hydrides by alloying with Si and other elements. We describe here the study of lithium and calcium hydrides alloyed with Si and Ge using ball-milling techniques. The details of the structure and bonding of the Li/Si/H(D), Li/Ge/H(D) and Ca/Si/H(D) systems have been revealed through a combination of neutron and x-ray diffraction, neutron spectroscopy and first-principles calculations. We report the discovery of several new hydride phases, the nature of Si-H bonding in these hydride systems and the effects of amorphization in the Ca/Si/H alloys. The implications of our results for future investigations will be discussed. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S39.00005: Neutron Scattering And thermodynamic Investigations of Hydrogen Adsorbed on or within Nanomaterials Lillian Frazier, Richard Cook, Tom Arnold, Annibal Cuesta-Ramirez , John Larese Nanometer scale materials offer neutron scatters significant opportunities to investigate the adsorption or entrainment properties of hydrogen bearing molecular gases and liquids. We report our latest investigations of combined thermodynamic, computation, neutron diffraction and inelastic scattering (INS) studies of the structure and dynamics of H2 films adsorbed on MgO (100) surfaces and entrained within an oriented, ordered- hexagonal array of cylindrical tunnels within an alumina or amorphous carbon matrix. By combining the INS data with our neutron diffraction results using D2 on the same materials and with computational efforts we propose adsorption behavior that accounts for our findings. Finally, we indicate what other opportunities exist for future experiments in these areas. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S39.00006: A Study of Magnesium Hydride Thin Film Phase Transition Kinetics Using \textsl{In-Situ} Hydriding/Dehydriding Stephen Kelly, Raj Kelekar, Hermione Giffard, Bruce Clemens Magnesium is an attractive material for hydrogen storage because it stores an appreciable amount of hydrogen (7.6 wt.\%) as magnesium hydride (MgH$_{2}$), is abundant in the earth's crust and is relatively inexpensive. Understanding of the structural changes and associated kinetics for the magnesium/magnesium hydride phase transition is crucial to engineering practical metal hydride hydrogen storage materials involving magnesium. A thin film architecture allows us to deposit and analyze precisely controlled structures in order to gain insight into the kinetic mechanisms present in the phase change. Using UHV sputter deposition onto a variety of substrates we have grown Mg thin films with varying degrees of structural texture and orientation. Using x-ray diffraction with \textsl{in-situ} sample heating we see evidence for a solid phase epitaxial (SPE) regrowth mechanism for the Mg regrowing from the MgH$_{2}$ in epitaxial Mg thin films and observe kinetic differences for the discharging of films with different Mg orientations (Mg c-axis in/out of the sample plane). We also determined the crystallographic orientation correlation for the Mg to MgH$_{2}$ transition in our epitaxial thin films. Here we also present our recent work examining and analyzing the kinetics for sample \textsl{charging} utilizing a variety of methods. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S39.00007: Cohesion of BaReH$_9$ and BaMnH$_9$: Density Functional Calculations and Prediction of (MnH$_9$)$^{2-}$ Salts David Singh, M. Gupta, R. Gupta Density functional calculations are used to calculate the structural and electronic properties of BaReH$_9$ and to analyze the bonding in this compound. This compound has an exceptionally high H to metal ratio of 4.5. The high coordination of Re in BaReH$_9$ is due to bonding between Re 5d states and states of d-like symmetry formed from combinations of H s orbitals in the H$_9$ cage. This explains the structure of the material, its short bond lengths and other physical properties, such as the high band gap. We compare with results for hypothetical BaMnH$_9 $, which we find to have similar bonding and cohesion to the Re compound. This suggests that it may be possible to synthesize (MnH$_9$)$^{2-}$ salts. Depending on the particular cation, such salts may have exceptionally high hydrogen contents, in excess of 10 weight \%. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S39.00008: Thermodynamic considerations in the synthesis of complex metal hydrides via mechanicosynthetic techniques Ashley C. Stowe, Polly A. Berseth, Arthur Jurgensen, Donald Anton, Ragaiy Zidan Complex metal hydrides have been synthesized for hydrogen storage through a new synthetic technique utilizing high hydrogen overpressure at elevated temperatures (molten state processing). This synthesis technique holds the potential of fusing different known complex hydrides at elevated temperatures and pressures to form new species with enhanced hydrogen storage properties. Formation of these compounds is driven by thermodynamic and kinetic considerations. Novel synthetic complexes were structurally characterized and there hydrogen desorption properties were investigated. The effectiveness of the molten state process will be compared with mechanicosynthetic ball milling. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S39.00009: Combinatorial thin film deposition and infrared emission characterization of hydrogen storage materials Leonid Bendersky, Hiroyuki Oguchi, Edward Heilweil, Daniel Josell Optimal hydrogen sorption/desorption behavior (temperature, pressure, kinetics) depends on a composition and a microstructural state. Combinatorial thin films provide a wide range of continuously changing compositions and microstructures (amorphous, nanocrystalline, single crystal, multiphases) on a single substrate. In this paper we report preparation and characterization of two systems, Fe$_{2}$Ti-FeTi$_{2}$ and MgNi-Mg on silicon wafers with Pd overlayers. The specimens were prepared by a shutter-controlled multilayer e-beam deposition. After-deposition annealing can create a variety of microstructural states. Both as-deposited and annealed films were fully characterized by SEM, x-ray, and selectively by TEM. Hydrogenation of the films was monitored with an infrared (IR) camera. Changes in the IR emissivity in response to the film hydrogenation and phase transition behavior will be discussed. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S39.00010: Hydrogen Fueling via Guanidine J. A. Van Vechten Three related materials, ammonia (NH3), urea (OCN2H4), and guanidine (CN3H5) are practicable hydrogen-based fuels$^{1}$ that could be produced in the giga-tonne quantities required from air, water and renewable energy. NH3 has long been established as a fuel for internal combustion engines and can be cracked to H2 for use in fuelcells, but is a gas at STP and extremely toxic, so general use is problematic. Urea and guanidine can easily be converted to NH3 and CO2 by addition of hot water from oxidation of NH3. Both are solids at STP, non-toxic, non-explosive and commonly shipped in plastic bags. The energy density in kWhr/L of guanidine is 4.7 compared with 3.0 for urea, 3.5 for liquid NH3, and 0.8 for H gas in 10,000 psi tanks. The specific energies in kWhr/kg for these materials are respectively 3.58, 2.35, 5.2, and (including the tank) 1.8. Guanidine melts at 50 C and is infinitely soluble in both ethanol and water. 1) http://www.energy.iastate.edu/renewable/biomass/AmmoniaMtg06.html [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S39.00011: Bi-Liquid Hydrogen Generation Using Familiar Materials Jeremiah Cronin Greater acceptance of Fuel Cell Power Systems has been greatly constrained due to the lack of a low cost, energy dense, and convenient hydrogen source to fuel these systems. This talk will present a novel bi-liquid approach to resolving current impediments to mobile hydrogen production, and how current R{\&}D is applicable to this bi-liquid approach. The implications of this bi-liquid fueling concept on other primary Fuel Cell subsystems, and an approach to commercial implementation will also be presented. The closing remarks will additionally identify benefits to the nation beyond those normally envisioned in the promise of a hydrogen based economy. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S39.00012: Hydrogen clathrate hydrates as a potential hydrogen storage material Dubravko Sabo, Jacalyn Clawson, Susan Rempe, Jeffery Greathouse, Marcus Martin, Kevin Leung, Sameer Varma, Randall Cygan, Todd Alam Recent synthetic activities involving hydrogen clathrate hydrates raised the prospect of utilizing them as an alternative storage material for hydrogen fuel. The current work is a starting point for future studies of hydrogen occupancy of hydrogen clathrate hydrate and its stability. We present studies of the structural and thermal properties of a hydrogen molecule dissolved in liquid water and their possible implication for the hydrogen storage in clathrate hydrates. The radial distribution function, coordination number and coordination number distribution are calculated using different representations of the interatomic forces within molecular dynamics, Monte Carlo and ab initio molecular dynamics simulation frameworks. Although structural details differ in the radial distribution functions generated from the different force fields, all approaches agree that the average and most probable number of water molecules occupying the inner hydration sphere around hydrogen is 16. Furthermore, we estimate the hydrogen hydration free energy. In addition, we will present the quantum mechanical studies of the hydrogen occupancy in single clathrate hydrate cages. [Preview Abstract] |
Wednesday, March 7, 2007 5:18PM - 5:30PM |
S39.00013: Clathrate hydrates studied by diffraction and vibrational spectroscopy. Timothy Jenkins, Russell Hemley, Wendy Mao, Ho-kwang Mao, Burkhard Militzer, Viktor Struzhkin Clathrate hydrate structures are a potentially viable method for hydrogen storage (Mao and Mao 2004). For simple hydrogen-water clathrates, low temperatures ($<$150 K) or high pressures ($>$2 kbar) are needed for stability. We investigated, using inelastic neutron spectroscopy, the hydrogen storage character of a clathrate of hydrogen with the addition of tetrahydrofuran as a promoter molecule. The addition of tetrahydrofuran allows the formation of the clathrate structure at elevated temperature and decreased pressure as compared to the hydrogen clathrate (Lee, et al. 2005). In addition we have examined the higher pressure clathrate forms at lower temperatures. High pressure diamond anvil work has allowed Raman and x-ray spectroscopy on novel clathrate environments. Analysis these model compounds will assist in future investigations to additional clathrate compounds. \newline Lee, Huen, et al. ``Tuning Clathrate Hydrates for Hydrogen Storage.'' \textit{Nature} 434 (April 2005): 743-746. Mao, Wendy, and Ho-kwang Mao. ``Hydrogen Storage in Molecular Compounds.'' \textit{Proceedings of the National Academy of Sciences} 101, no. 3 (2004): 708-710. [Preview Abstract] |
Session S40: Fractional Quantum Hall Effect
Sponsoring Units: FIAPChair: Wei Pan, Sandia National Laboratories
Room: Colorado Convention Center 503
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S40.00001: Probing phase separation in the fractional quantum Hall fluid at $\nu = 1/3$ Javier Groshaus, Irene Dujovne, Yann Gallais, Cyrus Hirjibehedin, Aron Pinczuk, Brian Dennis, Loren Pfeiffer, Ken West We report on measurements of low lying collective excitation modes in the fractional quantum Hall (FQH) fluid at $\nu = 1/3$ by resonant inelastic light scattering. While observations of long wavelength modes provide the signature of the presence of the incompressible quantum fluid, evidence of non-uniform fluids in light scattering spectra is found in the observation of magneto-roton modes that require breakdown of wave vector conservation due to loss of translation symmetry. In the energy range of magneto-rotons there are two excitation modes at slightly different energy. One of the modes is clearly identified with the incompressible phase. To interpret the other one we conjecture that due to weak residual-disorder compressible phases coexist with the incompressible phase at $\nu = 1/3$. Within this picture the second magneto-roton is associated with the compressible phases that coexist with the Laughlin fluid. The experimental studies reported here probe non- uniformity in the fluids and offer insights into excitations and mechanisms that are linked to activated transport in the FQH regime. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S40.00002: Valley Susceptibility Measurements of Composite Fermions around Filling Factor $\nu$ = 3/2 Nathaniel Bishop, Medini Padmanabhan, Kamran Vakili, Yakov Shkolnikov, Etienne De Poortere, Mansour Shayegan In the composite fermion (CF) picture, the fractional quantum Hall (FQH) states are simply the integer quantum Hall states of the particle-flux CF quasiparticles. We report magnetotransport measurements of FQH states in an AlAs quantum well around Landau level filling factor $\nu$ = 3/2, demonstrating that the carriers are CFs with a valley degree of freedom. By observing valley level crossings for these FQH states as a function of applied symmetry breaking strain, we determine the CF valley susceptibility, defined as the change of CF valley polarization with strain. The results can be explained well by a simple Landau level fan diagram for CFs. The measured valley susceptibility for CFs is found to be significantly enhanced over that measured for electrons in this system,\footnote{O. Gunawan et al, Phys. Rev. Lett. 97, 186404 (2006)} and comparable to earlier measurements of the spin susceptibility in GaAs heterostructures.\footnote{R. R. Du et al, Phys. Rev. Lett. 75, 3926 (1995)} [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S40.00003: Gap and mass measurements of composite fermions at $\nu$=5/3 in a 2D electron system with tunable valley occupation Medini Padmanabhan, Nathaniel Bishop, Yakov Shkolnikov, Etienne De Poortere, Mansour Shayegan In the composite fermion (CF) picture, the fractional quantum Hall state appearing at the filling factor of 5/3 is analogous to the integer quantum Hall state at $\nu$=1. We report energy gap measurements at $\nu$=5/3 in AlAs quantum wells which reveal a persistent gap even when the two anisotropic in-plane valleys are degenerate. This is reminiscent of the finite gap for the integer quantum effect for electron systems at $\nu$=1 in the g*=0 limit. As a symmetry breaking strain is controllably applied to the system, we observe a linear increase of gap followed by saturation, both of which are qualitatively consistent with a simple CF fan diagram. We also report mass measurements for the same state which suggest a mass enhancement for CFs by a factor of three over the electron band mass at a magnetic field of about 15T. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S40.00004: Localized quasielectrons in fractional quantum Hall states Hans Hansson, Maria Hermanns, Susanne Viefers We show that fractional quantum Hall states with localized quasielectrons (as opposed to quasiholes) can be obtained, within the framework of conformal field theory, as a correlator of electron operators and a novel kind of nonlocal operators. Our construction facilitates the calculation of Berry phases, and can be generalized to non-Abelian QH states. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S40.00005: Numerical test of bosonization of the 1/3 FQHE edge Shivakumar Jolad, Chia-Chen Chang, Jainendra Jain We report on numerical tests of Wen's conjecture expressing the fermionic field operator in terms of the bosonic edge excitations for the edge of the 1/3 FQHE state. Our studies extend the previous work of Palacios and MacDonald [1], wherein they identify the boson excitations to Stone operators [2], to larger systems and obtain more accurate thermodynamic limits for various matrix elements for the hard-core interaction. We also study the excitations using the Coulomb ground state, available for up to 9 electrons. A combination of exact diagonalization and Monte Carlo method is used to study systems containing up to 40 particles. The results are in agreement with those in Ref. [1] for small systems, but offer insight into the detailed approach to the thermodynamic limit and the effect of interaction on the results. \newline \newline [1] J. J. Palacios and A.H. MacDonald, PRL 76, 119 (1996). \newline [2] M. Stone, PRB 42, 8399 (1990) [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S40.00006: Pinning mode of 2D electron stripe phase around 9/2 Landau level filling G. Sambandamurthy, R.M. Lewis, H. Zhu, Y.P. Chen, L.W. Engel, D.C. Tsui, L.N. Pfeiffer, K.W. West We present finite frequency conductivity measurements on ultra high mobility two-dimensional electron systems in GaAs/AlGaAs. At low temperature $T\leq 150$ mK, strong anisotropy of the DC resistivities [1] for higher Landau levels (LL) near half filling is present, and is taken to be due to a striped phase, in which the charge density is anisotropically modulated. In our AC studies, we observe a sharp resonance at $f_{pk}\approx 100 $ MHz in the real part of the diagonal conductivity, in range of LL filling $\nu$ between 4.4 and 4.6, when the AC electric field is polarized along the ``hard" direction, nominally perpendicular to the stripes. The resonance vanishes for $T\geq$ 120 mK. No resonance is observed with the electric field along the easy direction, parallel to the stripes. Resonances are present [2] in both orientations, in the bubble phases found at higher and lower $\nu $ than the stripe phase.\\ 1. M. P. Lilly {\em et al.}, Phys. Rev. Lett. {\bf 82,} 394 (1999);\\ R. R. Du {\em et al.}, Solid State Comm. {\bf 109}, 389 (1999).\\ 2. R. M. Lewis {\em et al.}, Phys. Rev. Lett. {\bf 89}, 136804 (2002). [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S40.00007: RF resonance of two dimensional electron systems in higher Landau levels: Effect of in-plane magnetic fields H. Zhu, G. Sambandamurthy, L. Engel, D. C. Tsui, L. Pfeiffer, K. West We report measurements of the RF diagonal conductivity, Re$[\sigma _{xx} (f)]$, of ultrahigh mobility 2D electron systems with Landau level (LL) filling factor $4<\nu <6$, in the presence of an in-plane magnetic field, $B_{//}$. For nearly half integer filled higher LL's, such samples are thought to show electron stripe phases, which can be reoriented by application of $B_{//}$ [1,2]. With $B_{//} =0$, and $\nu \approx$ 9/2 and 11/2, a resonance around 100 MHz is observed when the microwave electric field $E_{m}$ is along $<1\bar{1}0>$, nominally perpendicular to the stripes, but no resonance is seen when $E_{m}$ is along $<110>$ [3]. $B_{//}$ can switch the resonance on and off depending on the orientations of $B_{//}$ and $E_{m}$ relative to the crystal. However, in the presence of $B_{//}$, a simple comparison with the DC results [1,2] is not possible, since the resonance can be present even for $E_{m}$ along lower DC resistivity direction, which would be thought to be parallel to the stripes. For example, around $6^{o}$ tilting of the magnetic field along $<1\bar{1}0>$, $B_{//} \approx$ 0.28 T, resonances are observed with $E_{m}$ along both orientations. [1] W. Pan {\it et al}., PRL {\bf 83}, 820 (1999). [2] M. P. Lily {\it et al}., PRL {\bf 83}, 824 (1999). [3] G. Sambandamurthy {\it et al}., this conference. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S40.00008: Variational studies of nematic phase of half-filled Landau level 2 dimensional electron gas (2DEG) system Quoc Doan, Efstratios Manousakis We study the ground state of a nematic phase of the 2DEG at filling fraction $\nu = 1/2$. The pair distribution function and the interaction energy are calculated using a variational wavefunction having Jastrow pair-correlations of the form $\Pi_ {i < j}(z_i-z_j)^2$ and an elliptical Fermi surface. The ratio between the major and minor axes of the ellipse is used as the broken symmetry parameter. First we used the Fermi hypernetted chain (FHNC/0) approximation and we find that for strong enough magnetic field and below a critical value of the broken symmetry parameter the nematic phase is energetically favorable. We find that the nematic phase can be realized when the energy difference between the nematic and the symmetric phase are of the same order of magnitude to the characteristic temperature below which the anisotropic transport in 2DEG was observed. Furthermore, the Monte Carlo (MC) method was used to calculate the energy and the pair distribution function using the same wavefunction in order to verify the accuracy of these results. The comparison of the results obtained with FHNC/0 and MC will be presented at the meeting. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S40.00009: Short-range disorder induced RIQHE in the lowest Landau level Wanli Li, D. R. Luhman, D. C. Tsui, L. N. Pfeiffer, K. W. West We have studied the magneto-transport of two dimensional electron systems with various amount of short-range alloy disorder. Our samples are Al$_x$Ga$_{1-x}$As-Al$_{0.32}$Ga$_ {0.68}$As heterostructures with the Al concentration $x$ ranging from 0 to 0.85\%, and the electron mobility varies from 1.2$\times $10$^7$cm$^2$/V.s down to 8.9$\times $10$^5$cm$^2 $/V.s within this $x$ range. We have two major observations in the high magnetic field regime. First, we have found that the amplitude of the fractional quantum Hall gaps is independent on $x$. Second, and more surprisingly, we have observed a $\nu $=1 reentrant integer quantum Hall effect (RIQHE) between the Landau level filling factor $\nu $=2/3 and $\nu $=3/5 in the sample with $x$=0.85\%. Between the quantum Hall Plateaus of $\nu $=2/3 and $\nu $=3/5, the Hall resistance is observed to be quantized to $h/e^2$ while the longitudinal resistance reaches a deep minimum. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S40.00010: New structures in graphene FQHE Csaba Toke, Paul Lammert, Vincent Crespi, Jainendra Jain We explore how the specific properties of graphene - valley degeneracy and linear dispersion of low-energy carriers - affect the fractional quantum Hall effect. We consider the SU(2) and SU(4) limits, which are relevant depending on whether the Zeeman splitting is large or small. In the former limit interaction-induced integral plateaus, large pseudoskyrmions, fractional sequences, even/odd numerator effects, composite-fermion pseudoskyrmions, and a pseudospin-singlet composite-fermion Fermi sea are expected to occur. While the lowest graphene Landau level is formally equivalent to the lowest GaAs Landau level with zero Zeeman splitting, it is predicted that the second Landau level of graphene shows more robust fractional quantum Hall effect than the second Landau level of GaAs. In the SU(4) symmetric limit new composite fermions states become possible without analog in GaAs; these involve an essential interplay between the spin and valley degeneracies. The structure of these states, their excitations, and their experimental consequences will be described. A composite fermion Fermi sea with an SU(2)xSU(2) symmetry is predicted at certain even denominator filling factors. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S40.00011: A new collective mode in the fractional quantum Hall liquid Giovanni Vignale, Ilya Tokatly We apply the methods of continuum mechanics to the study of the collective modes of the fractional quantum Hall liquid. Our main result is that at long wavelength there are {\it two} distinct modes of oscillations, while previous theories predicted only {\it one}. The two modes are shown to arise from the internal dynamics of shear stresses created by the Coulomb interaction in the liquid. Our prediction is supported by recent light scattering experiments, which report the observation of two long-wavelength modes in a quantum Hall liquid. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S40.00012: Interpretation of quantum Hall effect from angular momentum theory and Dirac equation. Keshav Shrivastava It is found that when suitable modifications to the g values are made, the effective charge of a particle is determined by e$_{eff}$ =(1/2)ge, which enters in the Dirac equation to yield the fractional charges. The calculated values of the fractional charges agree with the data on fractional charge deduced from the quantum Hall effect. Therefore, the Dirac equation can accommodate not only particles of charges 0 and $\pm $ 1 but also fractional charges such as 1/3 and 2/3. This means that spin and charge get coupled. There are two g values for two signs of the spin. Hence 4 eigen values emerge, two for positive spin and two for negative spin. Therefore a 4x4 matrix has to be added to the eigen value E in the Dirac equation. This matrix has interesting anticommuting properties. K. N. Shrivastava, Phys. Lett. A 113,435-6(1986);115, 459(1986)(E). K. N. Shrivastava, Phys. Lett. A 326, 469-472(2004) K. N. Shrivastava, Mod. Phys. Lett. B 13, 1087-1090(1999); 14, 1009-1013(2000). [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S40.00013: A simple view on the quantum Hall system Emil J. Bergholtz, Anders Karlhede The physics of the quantum Hall system becomes very simple on a thin torus. Remarkably, however, the rich structure of the system still exists in this limit. On the very thin torus the many body problem reduces to a one-dimensional classical electrostatic problem and both the abelian and the non-abelian quantum Hall states are manifested as gapped one-dimensional crystals, Tao-Thouless states, with fractionally charged excitations that appear as domain walls between degenerate ground states. These states represent, but are extreme forms of, the observed states in the bulk and their qualitative properties (such as quasiparticle degeneracies, quantum numbers, relative size of the gaps etc.) remain the same. For the gapless states, there is a phase transition at finite thickness to phases different from the gapped crystals. At half-filling in the lowest Landau level, this new phase is a Luttinger liquid of neutral dipoles which is adiabatically connected to the gapless state observed in the bulk. The existence of the gapless phase on the thin (but finite) torus provides an explicit microscopic example of how weakly interacting quasiparticles moving in a reduced (zero) magnetic field emerge as the low energy sector of strongly interacting electrons in a strong magnetic field. [Preview Abstract] |
Session S41: Steps, Facets, and Evolution of Surface Structures
Sponsoring Units: DCMPChair: Alberto Pimpinelli, Universite Blaise Pascal
Room: Colorado Convention Center 504
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S41.00001: Temperature Dependence of the Step Line Tension and Island Decay on the Si(111) (1x1) Surface M.S. Altman, K.L. Man, A.B. Pang, T. Stasevich, F. Szalma, T.L. Einstein Atomic steps are common defects at surfaces that can play an important role in many phenomena. Advances in the fabrication of nanostructures at surfaces depend largely upon the degree to which one can understand and control factors that affect step morphology. The step line tension is a crucial element in the Gibbs-Thomson relation, which describes the dependence of the chemical potential of an surface step upon its radius of curvature. This dependence can have a notable influence on step morphology. A proper description step morphological phenomena therefore requires accurate knowledge of line tension, including its temperature dependence. The step line tension on the Si(111) (1x1) surface was determined from a capillary wave analysis of two-dimensional island edge fluctuations that were observed with low energy electron microscopy. The line tension decreases by nearly 20{\%} between 1145 K and 1233 K. The role of desorption in island decay varies from negligible to dominant in the temperature range, 1145 -- 1380K, that island decay was measured. A general model of island decay is presented that takes account of desorption. Evaluation of the island decay time with this model referenced to the temperature-dependent line tension accurately determines activation energies that are relevant to island decay and sublimation. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S41.00002: Phase Field Model for Step Dynamics Including Elastic Interactions Between Steps and the Ehrlich-Schwoebel Barrier Dong-Hee Yeon, Katsuyo Thornton Understanding the evolution of steps on a vicinal surface is crucial in many important problems involving surfaces. Elastic interactions between steps and the preferential incorporation of adatoms into the upper step due to an asymmetric energy barrier, so-called the Ehrlich-Schwoebel(ES) barrier, greatly influence the step dynamics, often generating morphological instabilities of steps. For example, in the step bunching instabilities, the elastic interactions invoke the progressive coalescence of steps, while the ES barrier has a stabilizing effect. We will present a phase-field model for step dynamics including effects of elastic interactions and the ES barrier, and its application to investigate the effects of these factors on step dynamics. The results of the linear stability analysis will also be presented and are compared with those obtained by the phase-field model. In our simulation, it is shown that the flux is an important factor limiting the growth of step bunches through the debunching process. We will also present the analyses of step meandering instabilities resulting from the interplay among the elastic interaction, the ES barrier, and the step line energy. [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S41.00003: Distinctive Fluctuations of Facet Edges M. Degawa, T. J. Stasevich, W. G. Cullen, Alberto Pimpinelli, T. L. Einstein, E. D. Williams Spurred by theoretical predictions of distinctive static scaling of the step bounding a facet,\footnote{P.L.~Ferrari et al., Phys.~Rev.~E {\bf 69} (2004) 035102(R) } we extend the results to dynamic scaling, also rederiving the static results heuristically\footnote{A.~Pimpinelli et al., Surf.~Sci.~Lett.~{\bf 598} (2005) L355 } and we measure this behavior using STM line scans.\footnote{M. Degawa et al., Phys.~Rev.~Lett.~{\bf 97}, 080601 (2006)} The correlation functions go as $t^{0.15 \pm 0.03}$ decidedly different from the $t^{0.26 \pm 0.02}$ behavior for fluctuations of isolated steps. From the exponents, we categorize the universality, confirming the prediction that the non-linear term of the KPZ equation, long known to play a central role in non-equilibrium phenomena, can also arise from the curvature or potential-asymmetry contribution to the step free energy. We study a simple model with Monte Carlo simulations to illustrate the novel scaling of fluctuations in an asymmetric potential. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S41.00004: Evolution of patterned step structure on vicinal Si(111) surface during high temperature annealing Hung-Chih Kan, Taesoon Kwon, Raymond Phaneuf We present the results of numerical simulations of the evolution of patterned step structures on vicinal Si(111) surfaces during high temperature annealing, which presumably drives the surface far away from equilibrium. We use a mesoscopic model [1] to describe the motion of individual steps under the effects of sublimation, step stiffness (line tension), and step-step interaction. The qualitative consistency between our simulation and experiment [2] suggest that thermodynamic driving force, such as the step-stiffness and step-step interaction dominate the evolution of the step structure during high temperature annealing. [1] J. D. Weeks, D.-J. Lui, and H.-C. Jeong, in Dynamics of Crystal Surfaces and Interfaces, edited by P. M. Duxbury and T.J. Pence (Plenum Press, New York and London 1997), pp. 199-216 [2] T. Kwon, H-C. Kan, R. J. Phaneuf, Appl. Phys. Lett. \textbf{88}, 071914 (2006) . [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S41.00005: Step Density Minimum on Vicinal Surfaces and Surface Cusps in Epitaxial Regrowth on Patterned Substrates Tian Li, A. Ballestad, T. Tiedje In kinetic Monte Carlo (KMC) simulations of a solid-on-solid model of epitaxial growth, we measure the step density as a function of surface slope and find a special slope where the step density has a minimum. This slope occurs where the surface step density changes from two dimensional (islands) to one dimensional (staircase). The minimum in the step density results from the fact that one dimensional steps are more efficient at capturing adatoms than two dimensional steps, so that a small vicinal angle tends to suppress nucleation of islands. The slope for minimum step density is most sharply defined at low growth rates and high temperatures where the step edges tend to be smooth. The special slope goes away at low temperatures where the steps have a convoluted fractal shape. The minimum in the step density generates characteristic features in KMC simulations for stable (negative Ehrlich Schwoebel barriers) growth on patterned substrates, namely cusps on the top and shoulders of ridges. These features are also found in a continuum growth model which includes the step density minimum. Simulated surface shapes are in good agreement with experimental data for MBE growth of GaAs on patterned substrates, and with data in the literature. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S41.00006: The reconstructions of Si(15 3 23) Teresa Davies, Cristian Ciobanu Among the few remaining puzzles in the physics of heteroepitaxial quantum dots, there remains the atomic structure of the facets that bound the dome-shape islands. For a large range of germanium concentrations in the deposited Si- Ge alloy, the (15 3 23) orientation appears to be ubiquitous as the highest-index facet present on the dots at the latest stages of their coherent growth. We present here two approaches to the determination of the reconstructions of Si(15 3 23), one based on a systematic elimination of bonding possibilities and the other being a structural search performed via a genetic algorithm. Both approaches lead to classes of reconstruction models that have much lower surface energies than the only proposal currently available in the literature. Furthermore, the models allow for the presence of low-energy edges between the (15 3 23)-orientations and other facets present on the quantum dots. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S41.00007: Faceting of Re ($11\bar {2}1)$ induced by ammonia Hao Wang, Wenhua Chen, Theodore E. Madey, Timo Jacob The ammonia-induced nanoscale faceting of Re ($11\bar {2}1)$ has been studied by LEED and STM; the results are compared with recent studies of O-induced faceting of Re($11\bar {2}1)$. After exposure to ammonia at 700K, the Re($11\bar {2}1)$ surface only shows a (1$\times $2) reconstruction and remains planar (ammonia dissociates on Re, and only N remains on the surface at T$>$700K). By exposure to ammonia at 900K, Re($11\bar {2}1)$ becomes completely faceted, forming 2-sided ridge-like structures with ($13\bar {4}2)$ and ($31\bar {4}2)$ facets. However, this morphology is different from that in O-induced faceting of Re($11\bar {2}1)$. The two ridge sides, ($13\bar {4}2)$ and ($31\bar {4}2)$, are vicinal surfaces of closed-packed ($01\bar {1}0)$ and ($10\bar {1}0)$ respectively; these latter two surfaces appear as facets in O-induced faceting of Re($11\bar {2}1)$. DFT calculations are implemented to understand the origin of the different morphologies. Our work demonstrates that it is possible to tailor the surface morphology by choosing appropriate adsorbate and annealing conditions, which in turn provides model systems to study structural sensitivity in catalytic reactions as well as potential templates to grow nanostructures. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S41.00008: LEEM study of nucleation, growth, and decay of Ag nanowires on Cu(110) Indrajith Senevirathne, Ezra Bussmann, Gary Kellogg, Richard Kurtz, Phillip Sprunger Low energy electron microscopy (LEEM) has been used to study the nucleation, growth, and ripening of Ag nanowires on Cu(110). Previous STM and LEED studies of Ag on the Cu(110) surface have shown that for a Ag coverage of below 0.3 ML, Ag forms a surface alloy, followed by the formation of a 2D Ag(111) flat superstructure through dealloying at one monolayer. For Ag coverages above 1.3 ML , nanowires of Ag(110), with widths/heights of 12 nm / 2nm, grow along the [1\underline {1}0] crystallographic direction with highly anisotropic aspect ratios. LEEM reveals that Ag initially alloys at Cu/Ag step edges producing a distortion of the steps. Upon deposition above 1 ML, nucleation of Ag nanowires was observed across terraces, however nucleation still occurred at defect and step edges. LEEM showed that the nanowires grow to micron lengths and have highly anisotropic aspect ratios. Annealing above 573K resulted in rapid Oswald ripening of nanowires to Ag clusters of several micron dimensions. Quantitative details of the growth and decay mechanisms will be discussed. Sandia Corporation is a Lockheed Martin Company, for the US DOE's NNSA under Contract DE-AC04-94AL85000. Work was supported CINT-U2006A123 and NSF-DMR-0504654. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S41.00009: Studies of Cu adatom island ripening on Cu(100) by LEEM Ezra Bussmann, Gary L. Kellogg Simple metal surfaces are model systems for characterizing kinetic processes governing the growth and stability of nanoscale structures. It is generally presumed that diffusive transport of adatoms across terraces determines the rate of these processes. However, STM studies in the temperature range T$\sim $330-420 K reveal that transport between step edges on the Cu(100) surface is limited by detachment barriers at the step edges, rather than by the adatom diffusion barrier.$^{1}$ This is because on the Cu(100) surface, mass transport is mediated primarily by vacancies, instead of adatoms. We have used low energy electron microscopy (LEEM) movies to characterize coarsening of Cu islands on the Cu(100) surface in the range T$\sim $460-560 K. By measuring the temperature dependence of the island decay rate we find an activation barrier of 0.9$\pm $0.1 eV. This value is comparable to the 0.80$\pm $0.03 eV barrier found in STM studies.$^{1 }$However, we are not able to conclude that transport is entirely detachment limited at these elevated temperatures. This work serves as background to establish whether or not Pd alloying in the Cu(100) surface will slow Cu surface transport. $^{2}$ 1. C. Kl\"{u}nker, \textit{et al.}, PRB \textbf{58}, R7556 (1998). 2. M. L. Grant, \textit{et al.}, PRL \textbf{86}, 4588 (2001). Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the U.S. DOE NNSA, Contract No. DE-AC04-94AL85000. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S41.00010: Growth of Au on Si(111) surface A.-L. Chin, F.-K. Men We have studied the growth of sub-monolayer Au atoms on Si(111)-(7$\times $7) surface using scanning tunneling microscopy. By heating the Si substrate after room-temperature Au deposition, we have observed the formation of two types of the (5$\times $2) structure on a (7$\times $7) terrace: one is the (5$\times $2) depressions with an apparent height slightly lower than that of the terrace, the other is the protruding (5$\times $2) islands on the terrace. Comparing total areas occupied by the two types of the (5$\times $2) structure we have obtained the number of Si atoms in the reconstructed layers of a (5$\times $2) unit cell. The surface steps act as good sinks for Au adatoms coming either from the up or down terraces. Widths of denuded zones have been investigated by analyzing the spatial distribution of the (5$\times $2) structure. We will discuss relevant surface diffusion parameters related to the growth of Au. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S41.00011: Nucleation, Structure, Morphology of Co on Ag(110): temperature dependent subsurface migration. Phillip Sprunger, Indrajith Senevirathne, Orhan Kizilkaya, Richard Kurtz STM, LEED and Auger spectroscopy have been used to study the nucleation, structure, and morphology of Co on Ag(110) as a function of both coverage and temperature. STM has shown that films grown at coverages of $\theta $ $<$ 1ML and low substrate temperatures ($\sim $150K) give rise to segregation of Co to the subsurface layer. Furthermore it is possible to observe the nucleation of displaced Ag into small monatomic height islands on top of the substrate. For higher coverages of Co, $\theta \quad >$ 1ML a 3-D cluster growth mode takes place giving islands. These islands have a typical $\sim $20 {\AA} diameter and the height of $\sim $3 {\AA}. However, upon annealing the surface to $\sim $673K, profound changes are observed on the morphology of the surface. STM shows that there is a drastic reduction of the density and height of Co islands on the surface, presumably due to subsurface Co cluster growth. Further verification of this was obtained by sequential LEED and Auger spectra obtained while progressive annealing. It was observed the onset of this process occurs at $\sim $673K and flattens out at $\sim $873K. This work is funded by NSF NSF-DMR-0504654 [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S41.00012: Morphology Evolution of Cobalt Thin Films on Al$_2$O$_3$ (110) Above the Roughening Transition: Formation of Gigantic Multilayered Islands Jorge Espinosa, Leonardo Golubovic, David Lederman Co films, 4.0 nm thick, were grown on Al$_2$O$_3$ (110) at 315~$^\circ$C via molecular beam epitaxy. Their surfaces were imaged via atomic force microscopy while annealing at $T\geq 535$~$^\circ$C for several hours. The films exhibited a striking formation of multilayered islands that reach heights more than ten times larger than the initial film thickness. At the early stages of the annealing process ($t< 2 $~hr) the islands' height $h$ grows exponentially with time $t$, which is consistent with height instabilities of the film surface. For $t> 2$~hr, $h$ continues increasing at a slower rate with a power law $h \sim t^\gamma$ with $\gamma = 0.20-0.25$, whereas, interestingly, the base areas of the multilayer islands do not appreciably change with time. This behavior is independent of $T$ in the 535~$^o$C to 590~$^o$C range. These phenomena are discussed within an interface dynamics model incorporating both surface diffusion relaxation and de-wetting forces. The model is used to elucidate the physical origin of the observed island height growth in terms of strong up-hill surface currents caused by long range Casimir-like forces acting across the film. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S41.00013: First-principles studies of the $\surd $ 7 $\times \quad \surd $ 7 R19.1$^{\circ}$ structure of sulfur on the Pd(111) surface Dominic Alfonso Density functional theory is used to investigate the ($\surd $ 7 $\times $ $\surd $ 7) R19.1$^{\circ}$ structure of sulfur on the Pd(111) surface. Applying the concepts of first-principles atomistic thermodynamics, we analyze the stability of various ($\surd $ 7 $\times \quad \surd $ 7) models in equilibrium with arbitrary H$_{2}$ and H$_{2}$S environment. Among the different models that were considered, the densely packed mixed sulfur-metal overlayer structure proposed by Berndt \textit{et al}. [Surf. Sci. \textbf{393}, L119 (1997)] was found to be the most energetically favorable. This model consists of arrangement of Pd triangles and pentagons on top of Pd(111) with the sulfur atoms at 3/7 monolayer coverage. The dominant mechanism for sulfur interaction with Pd in the overlayer region is the rehybridization of sulfur 3 p and metal 4 d bands. Simulated scanning tunneling microscopy image for this structure shows some similarity with that obtained from experiment. Our study confirms that surface sulfide with no structural resemblance to its bulk counterparts can form on Pd(111). [Preview Abstract] |
Session S42: Reactions: Kinetics & Dynamics
Sponsoring Units: DCMPChair: Kristen Fichthorn, Pennsylvania State University
Room: Colorado Convention Center 505
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S42.00001: Dissociative and molecular adsorption and recombinative desorption of methylchlorosilanes at the Cu~(001) surface J. Lallo, L.V. Goncharova, A.V. Ermakov, B.J. Hinch, D. Strongin The Direct Synthesis of dichlorodimethylsilane above Cu/Si containing surfaces, is central to the commercial manufacture of many silicone materials. The atomic scale mechanisms responsible for the high selectivity for dichlorodimethylsilane, vs. other chloromethylsilanes, is poorly understood. As part of a more extensive program we report here on the interactions of chloromethylsilanes with copper. Adsorption of dichlorodimethylsilane on Cu(001) is, at least in part, dissociative. Yet AES indicates non-stoichiometric surface concentrations of Cl and C. This observation, which is apparent in both low (140K) and room temperature exposures, is indicative of a facile recombinative desorption process. Subsequent TPD spectra also indicate the desorption of not only the parent adsorbate, but other species also. Indeed the combined cracking patterns, of simultaneously desorbing species, are inconsistent with solely (CH$_{3})_{x}$SiCl$_{y}$ species. We report on the TPD, AES, and high-resolution helium atom scattering studies of (i) adsorption of methylchlorosilanes, and (ii) their coadsorption with added SiH$_{4}$, CH$_{3}$ and Cl species, on Cu(001). Evidence for methyl cracking, and disilane production will discussed. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S42.00002: CO adsorption on a transition metal quantum well system: fcc Co/Cu(100).$^{1}$ Levan Tskipuri, Hua Yao, Robert Bartynski We have examined the unoccupied electronic structure and CO bonding strength on the n-ML fccCo/Cu(100) metallic quantum well (MQW) system using inverse photoemission (IPE) and temperature programmed desorption (TPD), respectively. As-grown Co films exhibit well-defined MQW states that disperse upward with increasing film thickness, but they do not cross the Fermi level and are less pronounce than on other similar systems owing to partial overlap of exchange spit states. Upon CO adsorption a well-defined structure centered about 3.8 eV above the Fermi level appears and is assigned to the unoccupied CO 2$\pi $* orbital. CO adsorbs molecularly at room temperature and in TPD measurements we find a desorption temperature of $\sim $ 375 K, which is about 30 K lower than what is observed for CO adsorbed on the hcp Co surfaces. When Co films are dosed at low temperatures ($\sim $ 120K), we find a second CO desorption peak around 230 K, once again similar to what is seen for hcp Co, but at a markedly lower temperature. We have observed similar desorption peak temperature shifts for CO desorption from the Ni/Cu(100) system. The CO desorption temperature varies with Co layer thickness and the possible role of quantum size effects on the molecule-surface bond will be discussed. $^{1 }$ACS-PRF Grant Number 40236-AC-5S [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S42.00003: The influence of overlap interactions on chemical reactions in confinement Erik E. Santiso, Keith E. Gubbins, Aaron M. George, Marco Buongiorno Nardelli Chemical reactions are often carried out in nano-structured materials due to their large surface area per unit mass. It is, however, difficult to understand fully the role of the nano-structure in many reactions due to the superposition of multiple effects. Such effects include: the reduced dimensionality of the system, the heterogeneity of the pore surfaces, the selective adsorption of reactants/products, catalytic effects, and transport limitations. Experimental studies often show many of these effects at the same time, making the results difficult to interpret. In this work we present results of density functional theory calculations illustrating the influence of overlap interactions (shape-catalytic effects) on chemical reactions. In particular, we show the effect of confinement in small pores on the rates of rotational isomerizations of n-butane, 1-butene and 1,3-butadiene. We find that the rates of these transitions change as the double exponential of the pore size in the molecular sieving limit. These results are a first step towards an integrated model for the design of catalytic materials. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S42.00004: Reactions of water molecules at the Si/SiO2 interface I.G. Batyrev, L. Tsetseris, D.M. Fleetwood, R.D. Schrimpf, S.T. Pantelides Water molecules can be present to a varying degree at Si/SiO2 interfaces, either introduced by the oxidation process or through absorption from the atmosphere. Such water molecules may affect critically the electrical properties of metal-oxide-semiconductor (MOS) structures and devices. Here we present results of first-principles density-functional calculations of several pathways of water reactions near the Si/SiO2 interface. We found that (i) the presence of water molecules is energetically favored in the a-silica rings near the interface with an energy gain of $\sim $ 0.3 eV relative molecule in vacuum; (ii) a water molecule causes depassivation of Si-H bonds with formation of a Si dangling bond, H$_{2}$ molecule and loose OH complex; (iii) a water molecule may passivate a Si dangling bond and form a loose OH complex; (iv) a water molecule may oxidize the Si-Si bonds and form a H$_{2}$ molecule. The interplay of reactions results in changes in interface-trap density. We compare the results with radiation-response measurements of nMOSFETS right after production and after 18 years of aging and explain the observed growth of interface trap density (0.7x10$^{11}$cm$^{-2})$ just after X-ray irradiation. This work was supported in part by the AFOSR and the US Navy. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S42.00005: Growth kinetic of perovskite oxide monolayers on SrTiO$_{3}$(100) studied with reflection high energy electron diffraction (RHEED) and oblique-incidence optical reflectivity difference measurements. Xu Wang, Yiyan Fei, Xiangdong Zhu Using a combination of RHEED and an oblique-incidence optical reflectivity difference technique (OI-RD, a form of polarization modulated ellipsometry), we studied the growth of 5{\%} doped Nb:SrTiO$_{3}$ monolayers on SrTiO$_{3}$(100) under pulsed laser deposition condition (PLD) in a molecular oxygen ambient. By interrupting the deposition at the completion of one monolayer and continuing the RHEED and OI-RD measurement during post-deposition annealing, we can separate contributions to the optical reflectivity difference signal from kinetics of growth and oxidation. Based on a mean-field theory of optical reflectivity difference off an atomically rough and yet optically smooth film, we find that a growth-dependent part of the reflectivity difference signal is proportional to the step edge density or equivalently the root-mean-square (rms) of the roughness of a growth surface. We show that the surface roughness during the interrupted deposition of Nb:SrTiO$_{3}$ monolayers on SrTiO$_{3}$(100) can be analyzed with a 8-level growth model with two adjustable parameters. The parameters obtained by fitting the model to the OI-RD signal during the \textit{interrupted} deposition can be used subsequently to predict the growth behaviour of Nb:SrTiO$_{3}$ on SrTiO$_{3}$(100) in a \textit{continuous} pulsed laser deposition as reported earlier by Fei and co-workers. [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S42.00006: Grating-coupled excitation and detection of surface plasmon polariton waves (SPPW) on Cu(111) using periodic density patterns of rare gas monolayers. Yiyan Fei, Xu Wang, Xiangdong Zhu Using periodic density profiles of xenon (Xe) as thin as 1 $\sim $ 5 monolayers, we have excited and detected grating-coupled surface plasmon polariton waves (SPPW) on Cu(111) in ultrahigh vacuum. The periodic density profiles are formed by laser-induced thermal desorption with a pair of coherent laser pulses at vacuum wavelength of 0.532 $\mu $m. The periodicity of the profiles is 5.45 $\mu $m. By illuminating the xenon-density-grating-covered Cu(111) with a converging He-Ne laser covering a span of incidence angles from 66.4$^{\circ}$ to 74.4$^{\circ}$ and detecting the oblique-incidence reflectivity difference r$_{p}$/r$_{p0}$ -- r$_{s}$/r$_{s0}$ vs. incidence angle with a multiple-element photodiode array, we observed the surface-plasmon resonance (SPR) peaked at $\phi _{SPR}$ = 70.4$^{\circ}$ with a full-width at half-maximum $\delta \phi _{SPR}$ = 0.29$^{\circ}$. From the resonance angle $\phi _{SPR}$ and $\delta \phi _{SPR}$, we have determined the optical dielectric constant of single crystalline Cu at 633 nm to be $\varepsilon _{Cu}$ = -9.53 + $i$ 0.142, markedly different from the literature values for evaporated Cu films. At elevated temperatures such that a xenon density grating on Cu(111) decays in contrast, the surface plasmon resonance as measured by r$_{p}$/r$_{p0}$ -- r$_{s}$/r$_{s0}$ diminishes, reflecting the kinetic of surface diffusion of xenon on Cu(111). [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S42.00007: STM-induced passivation of Si (100) surface from physisorbed molecular hydrogen at 5 K Amena L. T. Khan, Allan R. MacDairmid, David C. Fortin, Xiaobin Zhu, Mark R. Freeman Gas phase adsorption of hydrogen on silicon surfaces is usually achieved through reaction with molecular hydrogen at elevated temperatures and/or exposure of the surface to atomic hydrogen.[1] In the present work, we introduce molecular hydrogen to a silicon (100) surface at T = 5 K. In these conditions we observe physisorption of molecular hydrogen,[2] which can act as a precursor for the formation of hydrides on the silicon surface. It is found that scanning tunneling microscopy can be used to induce patterned chemisorption of hydrogen on silicon. Upon repetitive scanning of the same area at negative sample bias, complete passivation of the area can be achieved. The route to complete termination involves two stages, the second of which appears to proceed via nucleation and growth of completely terminated islands from within a disordered, partially terminated phase. At positive sample bias the second stage is not observed, with the induced chemisorption ending in an unreactive ``locked'' configuration of the surface still containing silicon dangling bonds. This work is supported by NSERC, iCORE and CIAR. References: [1] A. J. Mayne, D. Riedel, G. Comtet, G. Dujardin, Prog. Surf. Sci., 81, 1, 2006. [2] T. R. Govers, L. Mattera, G. Scoles, J. Phys. Chem., 72, 5446, 1980 [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S42.00008: Quantum Size Effects on Surface Catalysis from First-Principles Studies Li Huang, Xingao Gong, Zhenyu Zhang Using first-principles calculations within density functional theory, we find that the adsorption energies and diffusion barriers of O and CO on quantum (Au+Ag) films show thickness-dependent oscillations. Such oscillations are solely determined by the total thickness of the (Au+Ag) films. The synergetic standing waves formed in the films due to quantum confinement and interference give rise to such quantum oscillation. This result points to potential tunability of the chemical reactivity on ultrathin metal films. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S42.00009: Theoretical investigation of CO oxidation on AuAg (110) alloy surface. Jyh Pin Chou, Ching-Ming Wei Carbon monoxide (CO) oxidation on the gold-silver (110) binary alloy surface has been investigated by using density functional theory. For clean metal (110) surface, it was found that CO prefers to adsorb on atop and short bridge site of Au(110) surface [1] and O$_{2}$ prefers to adsorb on fourfold hollow (FFH) site of Ag(110) surface [2]. In this work, we present the results of CO, O$_{2}$ adsorption, and CO+O$_{2}$ coadsorption on AuAg(110) surface. The results indicate that the adsorption energies are mainly determined by the type of atoms on the bonding site. For CO, adsorption on Au atop and Au$_{2}$ short bridge site are favored and have an adsorption energy range of 0.43$\sim $0.63 eV. For O$_{2}$, adsorption on FFH site with Au$_{5-n}$Ag$_{n}$ (n$\mathbin{\lower.3ex\hbox{$\buildrel>\over {\smash{\scriptstyle=}\vphantom{_x}}$}} $3) configuration is possible and has an adsorption energy range of 0.12$\sim $0.53 eV. For CO+O$_{2}$ coadsorption, the coadsorption energy on Au$_{5-n}$Ag$_{n}$ (n$\mathbin{\lower.3ex\hbox{$\buildrel>\over {\smash{\scriptstyle=}\vphantom{_x}}$}} $3) FFH site is slightly less than the sum of CO and O$_{2}$ adsorption energy by only 0.05$\sim $0.1 eV. The oxidation of adsorbed CO with O$_{2}$ is found to proceed via formation of an intermediate, OCOO, and has a surprising low energy barrier of 0.2$\sim $0.3 eV. [1] Tatyana E. Shubina, Christoph Hartnig and Marc T. M. Koper, Phys. Chem. Chem. Phys. 6, 4215,(2004) [2] P. A. Gravil and D. M. Bird, Phys. Rev. Lett. 77, 3993(1996) [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S42.00010: What is Special in Silver for Ethylene Epoxidation Paola Gava, Anton Kokalj, Stefano de Gironcoli, Stefano Baroni We present a first-principles study of Ethylene Oxide (EO) synthesis on different transition and noble metal surfaces. Recently Linic et al. have shown that on silver ethylene oxametallacycle (OMC) is a common intermediate for EO as well as for acetaldehyde (Ac) formation, the latter leading to undesired total combustion [1]. Our results provide a rationale of these findings which stem from the mild reactivity of silver that hinders H--C and C--C bond breaking both in ethylene and in the OMC intermediate. By analyzing the transition state geometries toward EO and Ac we identify an indicator that strongly correlates with selectivity for EO formation and could be an useful tool in the rational search for an improved catalyst. \newline [1] S. Linic et al., J. Am. Chem. Soc. {\bf 125}, 4034 (2003) [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S42.00011: CS radical formation in the Hot Filament CVD of diamond thin film by the CRDS Madalina Buzaianu, Vladimir Makarov, Arturo Hidalgo, Brad Weiner, Gerardo Morell In the present study, the CS radical was detected using Cavity Ringdown Spectroscopic (CRDS) during the Hot Filament CVD growth of diamond thin film for the CH$_{4}$ / H$_{2}$ mixture doped with H$_{2}$S. The absolute absorption optical density of the CS radical was obtained, and the concentration of this radical was estimated as function of CH$_{4}$ and H$_{2}$S concentrations. It was found that the yield of the CS radical depends on the presence of the substrate. The experimental results show that the heterogeneous sources of the CS radical are more significant in the presence of the substrate than in experiments without substrate. The relationship between the homogeneous and heterogeneous channels of the CS radical generation was estimated for both cases with and without substrate. The translational (Doppler analysis of the line contour) and rotational (fitting of the rotationally resolved CS spectrum) temperatures were estimated. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S42.00012: High Pressure and High Temperature Decomposition Studies of PETN and TATB Michael Pravica, Hubertus Giefers, Edward Romano, Brian Yulga, Zachary Quine, Wenge Yang, Hans Peter Liermann We present a variety of high pressure and high temperature studies investigating radiation-induced decomposition of the high explosives PETN and TATB using white beam synchrotron radiation at the Advanced Photon Source. Diffraction line intensities were measured as a function of time using energy-dispersive techniques. By measuring the decomposition rate as a function of pressure and temperature, kinetic and other constants associated with the decomposition reaction were extracted. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S42.00013: Investigation of the SH formation mechanism in Hot Filament CVD of diamond thin film by CRDS Vladimir Makarov, Madalina Buzaianu, Arturo Hidalgo, Brad Weiner, Gerardo Morell The SH radical formation mechanism during the Hot Filament CVD (HFCVD) of diamond thin film was studied using Cavity Ringdown Spectroscopy (CRDS) for the CH$_{4}$/ H$_{2}$ mixture upon addition of traces amounts of H$_{2}$S. The absorption spectrum of the SH radical as function of different parameters (filament material, distance between filament and probing laser area of CRD cell, CH$_{4}$ and H$_{2}$S concentrations, presence and absence of substrate) was studied. The gas temperature and the SH concentration profiles were obtained. The SH radical yield saturates for CH$_{4}$ concentrations higher than 4 {\%}. From the analysis of the experimental data we expect to understand the sources and the decay channels related to the mechanism of the SH radical formation during the HFCVD of the diamond thin film. The SH translational (Doppler analysis of the line countur), rotational (rotationally resolved spectrum fitting) and vibrational (measurement of the relative populations of SH on the $v''$ = 0 and $v''$ = 1 vibronic states) temperatures were estimated. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S42.00014: Investigation of the Role of Surface Oxides in Catalysis by Gold Nanoparticles Hongqing Shi, Catherine Stampfl In contrast to the long held view that gold is catalytically inert, it is now well known that supported gold nanoparticles are notably more active than other transition metals for low temperature catalytic oxidation of CO, as well as promoting several other catalytic reactions [1]. This has stimulated huge efforts in an attempt to understand the mechanisms responsible for the high activity, including investigations into the nature of oxygen on gold surfaces [2]. Through density-functional theory and the approach of \textit{ab initio} thermodynamics [3] we have found that on the gold (111) surface, thin oxide-like structures are significantly more stable for the pressure and temperature conditions of CO oxidation. The energetic preference for such partially oxidized gold, is in accord with very recent experimental results [4]. For the identified lowest energy surface oxide-like structure, we investigate the adsorption of CO on the surface and determine the reaction pathways for CO oxidation. \newline [1] Haruta, Catal. J. New. Mater. Electro. Sys. \textbf{7,} 163 (2004). \newline [2] R. Meyer \textit{et al}., Gold Bull. \textbf{37}, 72 (2004), and references therein. \newline [3] K. Reuter, C. Stampfl and M. Scheffler, in Handbook of Materials Modeling, Volume 1, Fundamental Models and Methods, Sidney Yip (Ed) 2005, 149-194. \newline [4] L. Fu \textit{et al.} J. Phys. Chem. B 109, 3704 (2005). [Preview Abstract] |
Wednesday, March 7, 2007 5:18PM - 5:30PM |
S42.00015: Modeling the effect of adsorbates on the surface segregation of binary alloy surfaces Ole M. L{\O}vvik, Susanne M. Opalka The effect of adsorbed species on surface segregation in binary alloys has been investigated using band-structure density-functional theory. Particular emphasis is given to hydrogen adsorption on the Pd-Ag and Pd-Cu systems, which are of relevance for hydrogen selective dense metal membranes. It is demonstrated how adsorption can significantly alter the atomic-scale surface segregation in such binary alloy surfaces. [Preview Abstract] |
Session S43: Photonic Crystals
Sponsoring Units: DCMPChair: Mark Sherwin, University of California, Santa Barbara
Room: Colorado Convention Center 506
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S43.00001: Theory of Band Structure of Thin Photonic Crystals Jeffrey Sokoloff There exist several highly successful methods for calculating the band structure of thick photonic crystals (PC's), constructed from parallel dielectric rods, which are long compared to their diameters and spacings. None of these methods, however, can accurately calculate the band structure of the technologically important case of thin PC's (i.e., PC's consisting of a periodic array of dielectric rods or holes in a dielectric material of length comparable to or smaller than the hole or rod diameter and spacing). Methods analogous to approximate methods traditionally used to calculate the band structure of crystalline solids, such as the tight binding method (where the rods or holes which are resonant cavities play the role of atoms) or the augmented plane wave method will be applied to this problem. The resulting band structure for thin PC's is much different from that of thick PC's. For example, there exist lower/higher frequency flat bands, resulting from the tight binding functions constructed from linear combinations of the resonant modes of the rods/holes. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S43.00002: Quasi-phase-matched Cerenkov radiation generation in a two-dimensional nonlinear photonic crystal waveguide S.N. Zhu, Y. Zhang, Z. Qi, G. Zhao , W. Wang In this report, we present a new type of quasi-phase-matched Cerenkov radiation generation from a two-dimensional nonlinear photonic crystal waveguide: a hexagonally poled LiTaO$_{3}$ waveguide. The waveguide was fabricated by field poling followed by proton exchange technique. The fundamental source was a LD-pumped, 90-ns pulsed Q-switch double wavelength Nd:YAG laser at 1064-$\mu $m and 1319-$\mu $m. The pulse repetition rates was 8-kHz. When the fundamental beams at 1064-$\mu $m and 1319-$\mu $m were collinearly focused into the waveguide and propagated along its x-axis, three sets of hexagonal patterns, with red, yellow and green colors, were respectively exhibited on the projection screen behind the waveguide at the same time. They were confirmed to be the second-harmonic generation (red and green patterns) and sum-frequency generation (yellow pattern) for these two fundamental waves, respectively. These frequency conversion processes were realized by guided-to-radiated mode interaction. Phase-matching for these processes in the waveguide was automatically achieved by a quasi-phase-matched Cerenkov configuration. [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S43.00003: ABSTRACT WITHDRAWN |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S43.00004: Thermal emission from two-dimensional metallo-dielectric photonic crystals Mohit Diwekar, Z.V. Vardeny We studied thermal light emission from a sub-wavelength hole arrays with square lattice of 4 $\mu $m periodicity fabricated in aluminum (Al) and silver (Ag) films on silicon (Si) substrates by conventional photolithography. The emission spectra were obtained using a FTIR setup with a port for an external cryostat configured for thermal emission measurements. These patterned films show extraordinary transmission bands in the mid-IR spectral range, which can be well explained as due to light coupling to surface plasmons on the two film interfaces. The thermal emission spectrum from these photonic crystals followed the transmission spectrum characteristics; however it differs significantly from the obtained absorption spectrum, in contrast to the Kirchhoff's law of radiation. We conclude that the fabricated photonic structures behave as radiation filters where the emission radiation is suppressed in the frequency range outside the transmission bands in the spectrum. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S43.00005: Berry phase for optical wavepacket propagation in deformed photonic crystals Kei Sawada, Shuichi Murakami, Naoto Nagaosa We develop a theory for a trajectory of an optical wavepacket propagating through a photonic crystal with a deformation $[1]$. Naively one might expect that the trajectory of an optical beam is always perpendicular to the wave front, which is expected in a conventional geometrical optics derived from Fermat's principle. We reveal an anomalous behavior of such electromagnetic beams beyond this naive expectation. We derive a set of equations motion which includes multiple scatterings and a geometrical phase called Berry phase associated with the wave dynamics. We find that such a Berry phase correction to geometrical optics gives rise to a shift of the center position of an wavepacket. Remarkably, at the edge of a photonic band gap, such a coordinate shift is enhanced by a factor $\omega / \Delta \omega$, where $\omega$ is a frequency of light and $\Delta \omega$ is a size of a photonic band gap. An amount of the enhancement factor is $\omega / \Delta \omega \sim 10$ or $\sim 10^2$ for photonic crystals. Especially, in the case of an x-ray dynamical diffraction, the factor can be $\omega / \Delta \omega \sim 10^6$, which implies that an atomic crystal deformation gives a macroscopic shift of a wavepacket.\\ $[1]$ K. Sawada, S. Murakami and N. Nagaosa, Phys. Rev. Lett. \textbf{96}, 154802 (2006). [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S43.00006: Terahertz transmittance of single-mode photonic crystal slabs Cristo Yee, Nathan Jukam, Mark Sherwin Terahertz (THz) radiation lies in the gap between optical and electronic frequencies. Its importance has grown during the past few years due to applications ranging from security to biomedicine to quantum information processing. These applications often require manipulating electromagnetic radiation on-chip, and THz Photonic Crystals (PC) are a natural solution. Terahertz PCs have two advantage: Silicon has a negligible absorption and the large PC dimensions makes fabrication defects negligible. In this work we report the first measurement of a transmission through a single mode THz PC Slab . The PC slab consist on an triangular array of holes with lattice constant a=64 $\mu $m, radius r=0.3a and thickness d=0.74a. The PC slab was fabricated with Reactive Ion Etching on a high-resistivity Si wafer. FTIR transmission spectrum along the J orientation shows an optical bangap from 1.2 to 1.6 THz for the TE mode, in good agreement with our FDTD calculations. The PC slab is the starting point for testing devices like waveguides and cavities. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S43.00007: Multi-layered photonic crystals \textit{de novo}: new formalism, results, insights, and analytic possibilities Frank Szmulowicz A new formalism for calculating the photonic band structure of multi-layer photonic gap (PBG) materials is derived. The formalism expresses all boundary conditions in terms of tangents rather than exponential functions. The formalism is compact, algorithmically simple, and physically appealing, and provides a new conceptual framework for describing the photonic band structure of layered materials. Its simplicity makes it possible to represent eigenfrequency conditions using geometric constructs, find a factored form of the secular equation, and derive analytic eigenfrequency conditions and analytic wave functions for multi-layer structures. Computationally, the new formalism makes it possible to find \underline {explicitly} the complete band structure of multi-layer PBG materials with integer ratios of optical path lengths (e.g., any combination of quarter-wave, half-wave, etc., stacks) through a \underline {single} diagonalization of a low order secular equation, the alternative being an \underline {implicit} root search via the transfer matrix formalism. The formalism is demonstrated on multi-layered structures arranged in the Fibonacci sequence and half-wave-quarter-wave-eighth-wave PBG. [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S43.00008: The Rayleigh Hypothesis and Scattering at Photonic Crystal Surfaces Prabasaj Paul, Kyaw Nyein, Robert Choudury We examine the Rayleigh hypothesis in the context of scattering of light off photonic crystal interfaces. First, the hypothesis -- which was initially suggested for scattering of waves off rough surfaces between homogeneous media -- is rephrased to apply to photonic crystal interfaces. Next, some exact and explicit functional forms are presented that map plane photonic crystal surfaces to periodic rough surfaces in free space, so that known criteria for the validity of the Rayleigh hypothesis for scattering at rough surfaces can be applied directly to scattering at the photonic crystal surfaces. The same maps also allow the scattering problem to be solved exactly. We present numerical results for scattering amplitudes at a photonic crystal surface using both the exact method (based on a surface integral formulation) and an approximate method (based on the Rayleigh hypothesis). The results are found to be consistent with the analytical criteria for the range of validity of the approximate method. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S43.00009: Optical Characterization of 3D Photonic Crystals Fabricated by Holographic Lithography Ying-Chieh Chen, Joseph B. Geddes III, Paul V. Braun, Pierre Wiltzius Holographic lithography is a promising technique for fabricating photonic crystals. Due to the large area, defect-free nature of the crystals created, they are expected to be good model systems for the study of their optical properties. However, the crystals created experimentally do not always meet theoretical expectations. We will present our current understanding of the optical response by comparing the experimental and simulated optical spectra. These spectra were taken from holographically fabricated crystals having FCC geometry. Optical spectra were simulated using a frequency domain algorithm for both the ideal photonic crystal and cross-sectional SEM images from the fabricated crystals as inputs to the simulation. Experimental issues associated with inconsistencies between measured and predicted results of the optical response will be discussed. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S43.00010: Tunable Mesoporous Defects in Photonic Crystals F.C. Peiris, J.R. Rodriguez, V. Kitaev , G.A. Ozin Similar to doping in semiconductors, the incorporation of defects into photonic crystals introduces defect-based states in the photonic bad gap, resulting in an increase in its functionality. In this work, we have introduced a planar-defect into a colloidal photonic crystal, and have investigated the evolution of its optical properties with respect to the infiltration of various foreign constituents. A periodic mesoporous silica film (i.e., the defect) was deposited on a silica-based colloidal photonic crystal, and a second photonic crystal was deposited subsequently to encompass the defect-layer. UV-VIS spectroscopy, scanning electron microscopy and X-ray diffraction experiments confirm the existence of the meso-layer. Subsequently, water vapor and tetramethyl orthosilicate (TMOS) were infiltrated into the structure and the defect-based signature corresponding to the optical spectra was monitored. In both cases, a noticeable shift in wavelength was observed, providing evidence that the structure performs as a chemical sensor. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S43.00011: Robust Optimization of Aperiodic Photonic Structures Omid Nohadani, Kwong Meng Teo, Dimitris Bertsimas In engineering design, the physical properties of a system can often only be described by numerical simulation. Optimization of such systems is usually accomplished heuristically without taking into account that there are implementation errors that lead to very suboptimal, and often, infeasible solutions. We present a novel robust optimization method for electromagnetic scattering problems with large degrees of freedom, and report on results when this technique is applied to optimization of aperiodic dielectric structures. The spatial configuration of 50 dielectric scattering cylinders is optimized to match a desired target function such that the optimal arrangement is robust against placement and prototype errors. Our optimization method inherently improves the robustness of the optimized solution with respect to relevant errors and is suitable for real-world design of materials with novel electromagnetic functionalities. [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S43.00012: Enhanced and Tailored Emission from Luminescent Three-Dimensional Ru(bpy)$_{3}$(PF$_{6})_{2}$ Inverse-Opal Photonic Crystals Andrew Brzezinski, Jyh-Tsung Lee, Jason Slinker, Pierre Wiltzius, George Malliaras, Paul Braun Three-dimensional inverse opal structures, with various lattice constants are made by infilling polystyrene colloid templates with luminescent Ru(bpy)$_{3}$(PF$_{6})_{2}$. The passive photoluminescent structures and active electroluminescent organic light-emitting-diode structures were characterized via electron microscopy and solid-angle-resolved spectroscopy. A model is presented, explaining light propagation within and emission from the crystal. Results show angular emission profiles are tailored by choice of lattice constant, which determines directions inside the crystal for which propagation of frequencies emitted from Ru(bpy)$_{3}$(PF$_{6})_{2}$ are either enhanced or suppressed. Enhanced emissive flux is achieved by suppressing propagation in near parallel directions relative to the air interface. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S43.00013: ABSTRACT WITHDRAWN |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S43.00014: A direct time integration of Maxwell equations in dielectric and magnetic dispersive materials for FDTD modelling of metamaterials Jesus Manzanares-Martinez, Jorge Gaspar-Armenta A new procedure of integration for the Maxwell equations is present to study dielectric and magnetic dispersive materials using the Finite Difference Time Domain Method. Our method is based on a direct application of the Fourier Transform for the temporal and frequency integrations of the constitutive relations. We study Drude and Lorentz dispersive media. We present different results for the light reflection of a pulse impinging dispersive dielectric, dispersive magnetic, or both dispersive media. [Preview Abstract] |
Session S44: Focus Session: Optical Properties of Plasmonic Nanostructures
Sponsoring Units: DMPChair: Emily Townsend, National Institute of Standards and Technology
Room: Colorado Convention Center 507
Wednesday, March 7, 2007 2:30PM - 2:42PM |
S44.00001: Au Bowtie Nanostructures for Surface-enhanced Raman Spectroscopy Daniel Ward, Nathaniel Grady, Carly Levin, Naomi Halas, Douglas Natelson Designing nanostructures for surface-enhanced Raman spectroscopy (SERS) is an active area of research because of the potential for chemical sensing with single-molecule sensitivity. We report preliminary SERS measurements on Au bowtie structures with nanometer size interelectrode gaps fabricated by electromigration. Initial data suggest that the bowtie structure provides a large electromagnetic enhancement for SERS over a small volume, enabling few or single molecule spectroscopy. The local plasmon resonance of the bowtie structure is tunable by varying the width of the gap between the two halves using electromigration. Additionally we report on a multiple bowtie structure that combines several bowtie devices in parallel allowing for the simultaneous electromigration of several devices at once to similar gap sizes. [Preview Abstract] |
Wednesday, March 7, 2007 2:42PM - 2:54PM |
S44.00002: Optical Response of Metal Nanoantennas to Femtosecond Pulses Sushmita Biswas, Albert Heberle Nanoscale metal antennas are promising devices for focusing light down to dimensions much smaller than the wavelength of light. This focusing can lead to strong optical enhancement of the response of single molecules or quantum dots placed in the antenna gap, as well as strong nonlinearities. The optical response of such antenna, however, is not well understood yet. Here, we will present results of our investigations of the linear and nonlinear optical response of silver nanoscale bowtie antennas to excitation with near-infrared pulses from a femtosecond Ti:sapphire laser. The antennas were fabricated with electron beam lithography and a lift-of process on glass substrates and semiconductor materials. They have lengths of a few hundred nanometers and gaps between 10 and 100 nanometers. We will discuss polarization dependence of the excitation sensitivity, second harmonic generation and other nonlinear effects. \newline References: \newline [1] P. Muhlschlegel et al., Science ,1607(2005). \newline [2] J.N. Farahani et al., Phys. Rev. Lett. 95,017402(2005). [Preview Abstract] |
Wednesday, March 7, 2007 2:54PM - 3:06PM |
S44.00003: Two-Photon Vibrational Spectroscopy using local optical fields of gold and silver nanostructures Katrin Kneipp, Janina Kneipp, Harald Kneipp Spectroscopic effects can be strongly affected when they take place in the immediate vicinity of metal nanostructures due to coupling to surface plasmons. We introduce a new approach that suggests highly efficient two-photon labels as well as two-photon vibrational spectroscopy for non-destructive chemical probing. The underlying spectroscopic effect is the incoherent inelastic scattering of two photons on the vibrational quantum states performed in the enhanced local optical fields of gold nanoparticles, surface enhanced hyper Raman scattering (SEHRS). We infer effective two-photon cross sections for SEHRS on the order of 10$^{5}$ GM, similar or higher than the best known cross sections for two-photon fluorescence. SEHRS combines the advantages of two-photon spectroscopy with the structural information of vibrational spectroscopy, and the high sensitivity and nanometer-scale local confinement of plasmonics-based spectroscopy. [Preview Abstract] |
Wednesday, March 7, 2007 3:06PM - 3:18PM |
S44.00004: Interparticle and Interfacial Effects on Second Harmonic Generation from Gold Nanoparticles Matthew McMahon, Davon Ferrara, Richard Haglund We have studied the angular dependence of second-harmonic generation (SHG) from symmetric gold nanoparticles arranged in lithographically fabricated gratings. For example, we have measured the effects of electric-field enhancement on second-harmonic generation by controlling the separation between closely spaced nanoparticles; changing the separation should change the strength of the interaction. Near-field interparticle interactions are observed to have characteristic effects on both the extinction spectra and the second-harmonic signals. Moreover, the substrate plays an important role in the way dipoles and higher-order multipoles contribute to the harmonic radiation. We have computed the dipole and quadrupole contributions in order to fit the measured SHG angular distributions. Theoretically, however, most of the harmonic light should be radiated into the substrate rather than into free space, making waveguiding applications particularly intriguing. [Preview Abstract] |
Wednesday, March 7, 2007 3:18PM - 3:30PM |
S44.00005: Strong Field Enhancement in a Scanning Nanogap for Infrared Imaging of Single Nanoparticles (lambda/1000) Javier Aizpurua, Antonija Cvitkovic, Nenad Ocelic, Reinhard Guckenberger, Rainer Hillenbrand Far-field infrared analysis of individual nanoparticles has not been possible so far due to the extremely weak scattering cross section of nanosize objects at infrared wavelengths, which is 5 orders of magnitude smaller than at visible wavelengths. Scattering type near-field optical microscopy (s-SNOM) offers nanoscale spatial resolution at IR wavelengths and background- free imaging [1,2]. We investigate theoretically and experimentally the use of strong optical field enhancement in the nanogap formed between the s-SNOM tip and the substrate supporting the particles. We show the key role of the substrate response to obtain strong field enhancement at the scanning gap, and therefore spatially resolve the nanoparticles. We provide clear experimental evidence that for highly reflective substrates, single particles as small as 8 nm can be detected with a mid-IR s-SNOM operating at 10 micrometer wavelength. [1] F Keilmann and R. Hillenbrand, Phil. Trans. Roy. Soc. A 362, 787 (2004). [2] A. Cvitkovic et al., Phys. Rev. Lett. 97, 060801 (2006). [Preview Abstract] |
Wednesday, March 7, 2007 3:30PM - 3:42PM |
S44.00006: Enhanced thermal emission from individual antenna-like nanoheaters Snorri Ingvarsson, James A. Lacey, Hendrik F. Hamann We report polarization-sensitive, thermal radiation measurements of individual, antenna-like, thin film Platinum nanoheaters. These heaters confine the lateral extent of the heated area to dimensions smaller or comparable to the emission wavelengths. We investigate the polarization patterns of the far-field radiation from individual nanoheaters as a function of length and width. For very long and narrow heater structures, we measure dipolar-like polarization patterns of the thermal radiation with high extinction ratios. Associated with these high extinction ratios for narrow and long heater structures, a significant enhancement of the thermal emission is observed. Our findings suggest the possibility of a strong infra-red near-field in the close vicinity of the nanoheaters with potential applications e.g. in microscopy. [Preview Abstract] |
Wednesday, March 7, 2007 3:42PM - 3:54PM |
S44.00007: Plasmon Assisted Heating of Metal Nanoparticles Adam Bushmaker, David Boyd, Rajay Kumar, David Goodwin, Stephen Cronin Optical heating of Au nanoparticles by light at the plasmonically resonant frequency is studied. Block copolymer lithography is used to create highly uniform monodisperse arrays of Au nanoparticles. Extremely high temperatures and electric fields are produced locally with relatively low intensities of laser light. The heating is quantified using three approaches: melting of glass, dissociation of carbon monoxide, and Stokes/anti-Stokes Raman spectroscopy. Temperatures in excess of 375$^{o}$C are observed in the nanoparticles for incident light intensities of less than 7x10$^{3}$ W/cm$^{2}$. Anti-Stokes:Stokes Raman spectra of PbO deposited by plasmon assisted chemical vapor deposition (PACVD) [1] on top of the nanoparticles show a heating factor 1570 times larger than a bulk reference sample. We show that temperature rises of this magnitude are consistent with our model of reduced heating at the nanoscale. [1] D. A. Boyd et al, Nano Lett., \textbf{6}, 2592 (2006). [Preview Abstract] |
Wednesday, March 7, 2007 3:54PM - 4:06PM |
S44.00008: Modifying the visual appearance of metal nanoparticle composites by infrared laser annealing Andrej Halabica, J. C. Indrobo, R. H. Magruder III, R. F. Haglund Jr., J. M. Epp, S. Rashkeev, L. A. Boatner, S. J. Pennycook, S. T. Pantelides It has long been known that noble-metal nanoparticles in insulators can alter their visual appearance. Many metal nanoparticle composites can be created by ion implantation and subsequent annealing to initiate phase separation, nucleation and growth of nanoparticles. The size and size distribution of the nanoparticles - and therefore the color of the composite - are determined by the chemistry and thermodynamics of the annealing process. In this paper we report that we can also alter the color of gold- and silver-implanted silica and alumina by tunable infrared laser irradiation. Essentially a variant of rapid thermal annealing, this laser treatment can shift the plasmon band of the nanoparticles by tens of nm, resulting in significantly altered visual appearance. The amount of energy delivered to the implanted layer, and the subsequent color variation, can be adjusted by changing the wavelength and fluence of the laser. This makes it possible, as we will show, to write or pattern the composite material with 200~$\mu $m linewidths. This work is partially supported by DOE (DE-AC05-00OR22725), NSF (DMR-0513048), and by Alcoa Inc. [Preview Abstract] |
Wednesday, March 7, 2007 4:06PM - 4:18PM |
S44.00009: First Principles Absorption Spectra of Si$_n$ ($n=20-28$) Clusters: TDLDA versus Predictions from Mie Theory Koblar Jackson, Juan C. Idrobo, Serdar Ogut, Mingli Yang First-principles absorption spectra calculated within the time- dependent local-density approximation for Si$_n$ ($n=20-28$) clusters reveal that prolate and compact clusters have distinct shape signatures, but no clear size dependence for a given shape.\footnote{Idrobo, Jackson, Yang, and Ogut, Phys. Rev. B {\bf 74}, 153410 (2006)} The shape dependence and size independence of the spectra and most of the peak positions and intensities can be explained remarkably well within the {\em classical} Mie theory, developed for light absorption by {\em metallic} particles using the {\em bulk} dielectric function of Si. Moreover, the experimental spectrum of Si$_{21}$ is in very good agreement with the theoretical spectrum of the prolate cluster, which is lower in energy than the compact one at this size. [Preview Abstract] |
Wednesday, March 7, 2007 4:18PM - 4:30PM |
S44.00010: Optical Properties of Cage Versus Space-Filling Gold Clusters: A TDLDA Study Weronika Walkosz, Juan C. Idrobo, Serdar Ogut, Jinlan Wang, Julius Jellinek Recent DFT computations\footnote{J. Wang {\em et al.}, J. Chem. Phys. A {\bf 109}, 9265 (2005).} have revealed that medium size Au$_n$ clusters form hollow cage and space-filling structures that are energetically competitive. In fact, for $n=32$ and 50 the cage structures are more stable than their space-filling counterparts. Here we report results of large-scale computations on the optical absorption spectra of the most stable cage and space-filling forms of Au$_n$, $n=32,38,44,50$. The computations are performed using the time-dependant linear-response density functional formalism within the local- density approximation (TDLDA). We examine the trends in the low-energy ($<$ 6 eV) parts of the spectra as a function of the cluster size and structure and compare them with the predictions of the classical Mie theory. [Preview Abstract] |
Wednesday, March 7, 2007 4:30PM - 4:42PM |
S44.00011: Isomeric Forms, Polarizabilities, and Optical Absorption Spectra of Ag$_{11}$ Serdar Ogut, Juan C. Idrobo, Karoly Nemeth, Julius Jellinek First principles based computational results on the atomic structures, static polarizabilities, and optical absorption spectra of eight low-energy isomers of Ag$_{11}$ are presented and discussed. The computations were performed using the static and time-dependent formalisms of the density functional theory. Comparison of the computed spectra with those measured for Ag$_{11}$ embedded in Ar and Ne matrices\footnote{F. Conus, V. Rodrigues, S. Lecoultre, A. Rydio, and C. Felix, J. Chem. Phys. {\bf 125}, 024511 (2006).} shows that it is the spectrum of the lowest energy isomer that exhibits the best overall agreement with the experiment. The theoretical analysis indicates that the $d$ electrons play a more important role in optical transitions in Ag$_{11}$ than in smaller Ag$_n$,$n\le 8$, clusters.\footnote{J. C. Idrobo, S. Ogut, and J. Jellinek, Phys. Rev. B {\bf 72}, 085445 (2005).} [Preview Abstract] |
Wednesday, March 7, 2007 4:42PM - 4:54PM |
S44.00012: Preparation and control of optical properties of plasmonic crystals using colloidal crystals as templates. Zhen-Lin Wang, Peng Zhan, Nai-Ben Ming Plasmonic crystals show promise for applications from optical, electronic devices, nanolithography, metamaterials to enhanced Raman scattering sensors. For such applications it is important to develop simple routes to prepare such metallic films with two-dimensional (2D) regular nanostructure ordering. We will show that templating against 2D colloidal crystal is a convenient route to prepare such crystals that are composed of rigid array of metal nanoparticles. We also discuss how to excise rational methods which allow control over the morphology of the crystal unit, thus tuning optical properties of the prepared plasmonic crystals. By implementation of physical, chemical, or electrochemical deposition of metal in combination with micromolding, a variety of morphologies of the metallic nanoparticles can be created. The nanoscale morphology and optical transmission properties of the prepared 2D metallic membranes have been characterized. [Preview Abstract] |
Wednesday, March 7, 2007 4:54PM - 5:06PM |
S44.00013: Optical Metamaterials at Near IR Range Fabricated by Nanoimprint Lithography E. Kim, W. Wu, E. Ponizovskaya, Y.R. Shen, A. Bratkovsky, S.Y. Wang Metamaterials operating at near-IR frequencies have been designed, fabricated by nanoimprint lithography (NIL), and characterized by laser spectroscopic ellipsometry. The structure was a metal/dielectric/metal stack ``fishnet'' structure that demonstrated negative permittivity and permeability in the same frequency region and hence exhibited a negative refractive index at a wavelength near 1.7 micron. The results of the transmittance and the reflectance measurements of the ``fishnet'' structure show a strong resonance appears in the vicinity of 1.67 micron. This resonance comes from the negative permittivity combined with the magnetic resonance. The position of the resonance agrees with predictions from FDTD simulation. This work demonstrates the feasibility of designing various optical negative-index metamaterials and fabricating them using the NIL as a low-cost, high-throughput fabrication approach. [Preview Abstract] |
Wednesday, March 7, 2007 5:06PM - 5:18PM |
S44.00014: Nanoparticles in alumina: Microscopy and Theory Juan C. Idrobo, Andrej Halabica, Sergey Rashkeev, Michael V. Glazoff, Lynn A. Boatner, Richard F. Haglund, Stephen. J. Pennycook, Sokrates T. Pantelides Transition-metal nanoparticles formed by ion implantation in alumina can be used to modify the optical properties of naturally oxidized and anodized aluminum. Here, we report atomic-resolution Z-contrast images using a scanning transmission electron microscope (STEM) of CoFe and other metal nanoparticles in alumina. We also report electron energy loss spectra (EELS) and relate them to visual appearance and optical properties. Finally, we report first-principles density- functional calculations of nucleation mechanisms for these nanoparticles. This research was sponsored by the Office of Basic Energy Sciences, Division of Materials Sciences and Engineering, U.S. Department of Energy, under contract DE-AC05- 00OR22725 with Oak Ridge National Laboratory, managed and operated by UT-Battelle, by NSF grant No. DMR-0513048, and by Alcoa Inc. [Preview Abstract] |
Wednesday, March 7, 2007 5:18PM - 5:30PM |
S44.00015: Probing the Surface Guided Modes from Infrared to Ultraviolet by Fast Electrons Aycan Yurtsever, Martin Couillard, David A. Muller We use monochromated, 200 kV electrons with high spatial resolution to study guided modes and surface plasmons in thin silicon slabs. We observe, both theoretically and experimentally, the presence of multiple plasmonic modes in a range from infrared to ultraviolet. We observe one mode at a thickness of $\sim $20 nm and up to five modes at a slab thickness of $\sim $250 nm, which agrees remarkably well with the relativistic dielectric theory. Finally, we use our technique to examine effective dielectric medium theories by studying silicon nanoparticles embedded in silicon oxide, a materials system with potential technological applications. [Preview Abstract] |
Follow Us |
Engage
Become an APS Member |
My APS
Renew Membership |
Information for |
About APSThe American Physical Society (APS) is a non-profit membership organization working to advance the knowledge of physics. |
© 2024 American Physical Society
| All rights reserved | Terms of Use
| Contact Us
Headquarters
1 Physics Ellipse, College Park, MD 20740-3844
(301) 209-3200
Editorial Office
100 Motor Pkwy, Suite 110, Hauppauge, NY 11788
(631) 591-4000
Office of Public Affairs
529 14th St NW, Suite 1050, Washington, D.C. 20045-2001
(202) 662-8700