Bulletin of the American Physical Society
63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas
Volume 55, Number 7
Monday–Friday, October 4–8, 2010; Paris, France
Session KWP: Poster Session III (14:00-15:30) |
Hide Abstracts |
Room: 8 |
|
KWP.00001: BIOLOGICAL AND BIOMEDICAL APPLICATIONS AND PLASMAS |
|
KWP.00002: Mass-spectroscopical study of plasma-assisted thermal degradation of poly(ethylene oxide) Andrei Choukourov, Ivan Gordeev, Dmitry Arzhakov, Danka Slavinska, Hynek Biederman PEO-like plasma polymers were deposited by vacuum evaporation of PEO with simultaneous activation of its vapors by a glow discharge. Mass-spectroscopy was applied to elucidate the mechanisms of thermal degradation of PEO. Without the plasma, the gas phase is rich with oligomers of PEO with m/z reaching 102. The mechanism of radical termination by disproportionation is detected. Application of the plasma results in significant fragmentation of released species. The films deposited without plasma have the chemical composition close to original PEO yet with molecular weight distribution shifted to lower values. The plasma polymers loose the PEO character with increasing power of discharge. The parameters of the deposition are optimized to obtain the PEO-like plasma polymers with high retention of the PEO structure. Such films are found to perform non-fouling in terms of adhesion of blood plasma proteins. [Preview Abstract] |
|
KWP.00003: Plasma-cell interactions: apoptosis in cultured human breast cancer cells by a pulsed atmospheric pressure plasma jet Tae Hun Chung, Sun Ja Kim, Hea Min Joh, Se Hwan Bae, Sun Hee Leem Atmospheric pressure plasma jet sources driven by pulsed dc voltage with repetition rate of several tens of kilohertz were specially fabricated and characterized. Using this plasma jet, apoptotic effect on cultured human breast cancer cells (MCF-7) was explored. The effect of plasma-forming gases (helium and argon) and additive O$_{2}$ gas on the cell treatment was investigated. The apoptotic changes in cells with plasma treatment were detected by DAPI staining and fluorescence staining assay, and also by flow cytometry. A significant portion of these cells was observed to exhibit the apoptotic fragmentation. Apoptosis is mediated by a various range of cell signals, both extracellular and intracellular. These signals may positively or negatively induce apoptosis. With monospecific antibodies, immunoblotting, and immunohistochemical methods, the relative level of apoptosis-regulating proteins in cell lysates can be detected. Biochemical mechanism of the plasma-cell interaction using human antibody assay will be also addressed. This plasma jet can provide an effective mode of human breast cancer cell therapy. [Preview Abstract] |
|
KWP.00004: Short time surface decontamination by nanosecond DBD plasma Hamid Ghomi, Nasrin Navab Safa, Kherad Ramezani This paper presents results of E. coli inactivation at atmospheric pressure in the air using nanosecond-pulsed DBD plasma. It is shown that this plasma sterilization method is considerably occurred in shorter time compared with the other methods. The effect of increasing the applied voltage on the bacteria inactivation is investigated. According to the results, as the applied voltage increases, the required exposure time for complete inactivation of E. coli bacteria decreases and the bacteria inactivation rate increases significantly. The exposure time for complete inactivation of E. coli bacteria with 10$^{8}$ CFU/m concentrations at the voltage of 9kV and 7 kHz frequency is about 2 s. [Preview Abstract] |
|
KWP.00005: Repetitive Exposures of Extremely Low-Frequency Magnetic Field Induce DNA Double-Strand Breaks and Apoptosis in human cells Jiyeon Kim, Chang Seung Ha, Hae June Lee, Kiwon Song We are exposed to 50-60 Hz extremely low-frequency magnetic fields (ELF-MFs) with a few mT intensity by high voltage transmission lines and electric transportations. However, the biological effects and molecular mechanisms of the exposure remain controversial. Herein, we investigated the potential effects of ELF-MFs on human normal and cancer cells. Whereas single exposure to ELF-MFs of 6 mT for 30 min did not show any effect, repetitive exposures reduced cell viability. Repetitive exposures of ELF-MFs activated p-H2AX and p-Chk2 kinases in the DNA damage checkpoint pathway and p38. In addition, cells underwent apoptosis. These studies suggest the necessity of standard ELF-MFs exposure evaluations for human health issues associated with repetitive exposures to ELF-MFs in daily environments. [Preview Abstract] |
|
KWP.00006: Increasing the healing rate of skin wounds Roxana Silvia Tipa, Gerrit Kroesen Cold plasma treatment of wounds is gaining a lot of interest lately, because it has the potential to offer a non-contact, painless and harmless therapy to manage large-area lesions (such as burn wounds and chronic ulcerations). One of the key considerations in plasma wound healing is the safety of the method. In this work we studied \textit{in vitro} the effects of plasma treatment and electrical field effects on cell proliferation, wound healing and DNA damage. Several cell lines have been investigated in order to see both the effects of plasma and electrical field effects. In order to provide more accurate results, for our experiments we used confocal microscopy to see if there is any DNA damage generated by the treatment and the XCelligence system for monitoring real time proliferation. We performed a parametric study of plasma-treated 3T3 fibroblast cells. For the treatment, a cold atmospheric plasma needle (13.56 MHz micro-jet in helium) was used. The influence of plasma on cell viability was measured using the MTT assay method. We observed the long-term effects of plasma on cell viability, dependent on the dosage of plasma treatment. Under high doses cell suffered damage that led to decreased viability. [Preview Abstract] |
|
KWP.00007: Immobilization of L-Cysteine onto Polyethylene Glycol Polymerized by Surface-wave Plasma Zhenyi Shao, Akihisa Ogino, Masaaki Nagatsu Immobilization of anticoagulant bioactive compounds is an effective way to improve anticoagulant properties of blood-contacting materials. Free L-cysteine has attracted researcher's much attention as it can catalyze protein S-nitrosoalbumin (AlbSNO) to release NO messenger molecule, which is a potent inhibitor of platelet activation and aggregation and leading to the potential of long-time anticoagulant property. Polyethylene glycol (PEG) with terminal of hydroxy functional groups is proposed to play the role of both hydrophilic layer to alleviate aging effect and subsequent covalent immobilization of L-cysteine. Surface-wave plasma treatment is presented to polymerize or crosslink polyethylene glycol (PEG) onto polyurethane (PU) surface for immobilization of L-cysteine. The correlativity between introduced functional groups and immobilized L-cysteine was discussed. The results suggest that a bio-functionalized surface with high density of immobilized L-cysteine could be achieved on surface with high density of effective hydroxy functional groups. Furthermore, both plasma pre- and post-treatment parameters are investigated to achieve a surface with high density of OH functional groups. The influence of pre-treatment conditions on immobilization of L-cysteine is not very distinctive and post-treatment conditions are optimized to reserve more effective OH functional groups for subsequent L-cysteine immobilization. [Preview Abstract] |
|
KWP.00008: Redox Characteristics of Thiol of Plants Using Radicals Produced by RF Discharge Akari Nakahigashi, Yusuke Akiyoshi, Nobuya Hayashi, Satoshi Kitazaki, Kazunori Koga, Masaharu Shiratani The stress reaction of plants has been observed, when the water vapor or nitrogen plasma is irradiated to the seeds or stem, leaf of plants such as radish sprout. The redox reaction of thiol in plants using radicals produced by RF discharge is studied as one of candidates of the growth control mechanism of plants utilizing plasmas. The thiol in the seeds of radish sprout increases with the treatment time when the nitrogen plasma irradiates to the radish sprout at the pressure of 60 Pa. Nitrogen radicals would affect the seed of radish sprout. As a basic study, the treatment effect of thiol compounds, cystine and cystein, is investigated from the major peak of FTIR spectra around 1036 cm$^{-1}$ of cystein thiol and 525 cm$^{-1}$ of cystine disulphide bond. The water vapor plasma irradiated to the cystine sample, the broadband peak around 1060 cm$^{-1}$ appeared on the FTIR spectrum. This peak indicates the generation of the cystein acid, which is the intermediate substance when the cystine has oxidized into cystein by the OH radicals. Above oxidation and reduction characteristics of thiol compounds by the plasmas are roughly coincide with the growth stimulation of plants using plasmas. [Preview Abstract] |
|
KWP.00009: Sterilization of PET Bottles Using Air Torch Plasma Produced by Microwave Discharge Takaomi Nakashima, Nobuya Hayashi, Akira Yonesu Recently, the sterilization of the food container has been attempted using environment-friendly and low-cost oxygen plasma. The sterilization characteristics of PET bottles using by microwave torch air plasma are clarified with changing microwave power and irradiation time of radicals. Radicals as oxidizing agents for sterilization were produced from air discharge. When the microwave of 2.45GHz supplied from a magnetron is absorbed to the stainless wire wrapped around a glass pipe, air plasma is produced in the glass pipe. The radicals with high sterilization ability is emitted from the glass pipe opening edge, and conduct the inactivation of microorganisms which adheres in PET bottle inside. The sterilization of the PET bottles was performed with three different settings of the PET bottle configuration. Generation of active species was confirmed by light emission spectra, and chemical indicators. Sterilization characteristics of non-heat-proof equipments with plasma were clarified using biological indictors. The spore of Geobacillus tearothermophilus was used as biological indicator. The sterilization of Geobacilius stearothermophilus with the population of 10$^{5}$ of the whole inside of a PET bottle was successful for the microwave power 200W, treatment time of 5 min. [Preview Abstract] |
|
KWP.00010: Bacteria Inactivation Using Post-discharge Plasma in Atmospheric Pressure CO$_{2}$ and N$_{2}$-O$_{2}$ Azadeh Valinataj Omran, Farshad Sohbatzadeh, Abasalt Hosseinzadeh Colagar, Saeed Mirzanejad Recently, the plasma physics scientific community has paid much attention to the development of atmospheric-pressure, non-thermal plasma sources. Dielectric barrier discharge can generate a cold plasma over a wide atmospheric pressure range. We study DBD plasma source that can be employed for the treatment of dangerous bacteria. The CO$_{2}$ and N$_{2}$-O$_{2}$ post-discharge plasmas are produced with conical electrodes operating at 50Hz. Antibacterial effect of post-discharge plasma were used on cultured bacteria in Luria Bertini (LB) with concentrations of OD$_{600}$nm=0.25 McFarland standard and 7.75$\times $10$^{5}$ colonies per LB media plate prepared for surface sterilization. The sterilizing application experiments showed that such a cold plasma was very effective in the disruption of \textit{S. pyogenes}. Therefore, this type of plasma is suitable for various applications, especially, biology and medicine. [Preview Abstract] |
|
KWP.00011: Direct Measurement of Nitric Oxide Produced from Cell Under the Atmospheric Pressure Plasma Irradiation Takamichi Hirata, Chihiro Tsutsui, Toshifumi Komachi, Takumi Kishimoto, Akira Mori, Toshiaki Yamamoto, Masahiro Akiya, Akira Taguchi We performed experiments involving direct ion/radical irradiation of tissues and cells using a atmospheric-pressure plasma source for various plasma applications in biomedical engineering. When cells were irradiated by the plasma in preliminary experiments, little destruction of cell membrane due to surface interactions such as collisions or ionization of gas molecules was observed. Furthermore, direct measurements of nitric oxide (NO) concentration using a NO sensor revealed that NO was generated by plasma irradiation. Therefore, interactions due to ion/radical collisions on the culture surface appear to have a substantial effect on the proliferation of growth factors present in cells. [Preview Abstract] |
|
KWP.00012: Mass Spectroscopic Study of Microorganisms Inactivation by N$_{2}$/O$_{2}$ Surface-wave Plasma Ying Zhao, Akira Tsukasaki, Akihisa Ogino, Masaaki Nagatsu Sterilization mechanisms have been studied to establish the low temperature plasma sterilization method. At the present, although there have a lot of investigation on sterilization mechanisms of low temperature plasma, there are no clear explanations as to the respective contributions of the various agents emanating from plasma to the bacterial killing process. In this work, we investigated the effect of etching process due to oxygen radicals on the inactivation of microorganisms in N$_{2}$/O$_{2}$ mixture plasma. The quadrupole mass spectroscopy(QMS) was used to study the etching process and etching bi-products of microorganism during plasma treatment. 30 samples of \textit{Geobacillus stearothermophilus} with a population of 1.7x10$^{6}$ pasted on each small stainless steel disc were exposed to N$_{2}$/O$_{2}$ mixture plasma. The ion current signals of H$_{2}$O and CO$_{2}$ molecules were observed obviously using the multiple ion detection measurement of QMS. These results indicated that hydrogen and carbon composites existing inside the microorganisms were ultimately converted into H$_{2}$O and CO$_{2}$ via the etching process by the reactive oxygen radicals. [Preview Abstract] |
|
KWP.00013: Targeted cancer treatment using anti-EGFR and -TFR antibody conjugated gold nanoparticles stimulated by non-thermal air plasma Sang Rye Park, Seoul Hee Nam, Jeong Hae Choi, Gyoo Cheon Kim, Gon Jun Kim, Jae Koo Lee Non-thermal air plasma killed SCC25 oral cancer cells targeted by antibody conjugated gold nanoparticles. Although plasma alone is effective in killing cancerous cells, it also affects normal cells during the treatment process. For enhanced effects, gold nanoparticles and cancer specific antibodies were pretreated before plasma treatment. Gold nanoparticles taken up by the cancerous cells are stimulated by the plasma treatment. The selectivity of killing process is achieved by conjugating gold nanoparticles with anti-epidermal growth factor receptor (EGFR) and -transferrin receptor (TFR) antibodies. These conjugates can bind specifically to cancer cells. This technique shows the possibility of using plasma therapy for killing cancer cells selectively and effectively. [Preview Abstract] |
|
KWP.00014: DLC film coating for anti-infective property Masato Iwatsuki, Yuta Hoshino, Yasuharu Ohgoe, Ali Alanazi, Kenji Hirakuri, Yasuhiro Fukui In the medical treatment and health care, infectious disease for an internal indwelling catheter is the greatest factor of the hospital-acquired infection. It is difficult to fix a biological tissue on the catheter (polytetrafluoroethylene) because the use of these polymeric material issues the space between the catheter and the biological tissue. Therefore, the infectious disease is introduced by bacterium invade from the space. To solve this problem, it is expected that the surface of the polymeric material is improved by any coating with cytocompatibility and the space is covered by biological tissue. In this study, diamond-like carbon (DLC) film with cytocompatibility was coated on the outer-wall of a catheter by r.f. plasma CVD technique using special electrode. The cytocompatibility of the DLC film coated on the internal indwelling catheter was evaluated by in-vitro test using the mouse fibroblast. It was found that the cytocompatibility of the catheter with DLC coating is dramatically improved. [Preview Abstract] |
|
KWP.00015: Cytocompatibility of DLC coating on a synthetic vascular graft Naoyuki Takeda , Yuta Hoshino, Yasuharu Ohgoe, Ali Alanazi, Kenji Hirakuri, Yasuhiro Fukui Expanded--polytetrafluoroethylene has been used for synthetic vascular graft. It is not enough to keep these polymeric materials into human being for long term although the thrombus formation on them is relatively low in comparison with other materials. In order to improve the problem, it is important to innovate on the coating technology with high biocompatibility and cytocompatibility. Diamond-like carbon (DLC) film is one of the candidates for the coating because of their excellent properties such as biocompatibility and chemical stability. Recently, surface treatment of the DLC film has attracted much attention to give added value without losing a characteristic for the improvement of the biocompatibility of the DLC film. The surface of DLC film is able to be evidently modified by oxygen, argon and nitrogen after DLC film has been deposited on a synthetic vascular graft inner-wall. The biocompatibility of the modified DLC film was evaluated by in-vitro studies using endothelial cell. As a result of the in-vitro studies, the cytocomapatibilty was obviously improved because the number of cell was increased on the surface with the modification. [Preview Abstract] |
|
KWP.00016: Microplasma Generation of Reactive Oxygen Species for DNA Oxidation J.S. Sousa, G. Bauville, B. Lacour, V. Puech, M. Touzeau, J.L. Ravanat We have developed arrays of microcathode sustained discharges (MCSD) for the generation of singlet delta oxygen (SDO) at atmospheric pressure. In He/O$_{2}$/NO mixtures, SDO densities higher than 1.0 10$^{17}$cm$^{-3}$ have been efficiently produced and transported over distances longer than 50cm, providing SDO fluxes greater than 100mmol/h. Additionally, ozone (O$_{3})$ densities up to 10$^{16}$cm$^{-3}$ have also been obtained. As the ratio between SDO and O$_{3}$ can be easily and finely tuned in the range 10$^{-3}$-10$^{+3}$, these arrays of MCSD are very useful tools for examining the reactivity of these reactive oxygen species (ROS) with biological components. Experiments were performed showing that SDO and O$_{3}$ are able to oxidize DNA. We observed that O$_{3}$ is much more efficient than SDO at degrading DNA. While O$_{3}$ oxidizes all DNA nucleobases almost indifferently, SDO reacts mainly with Guanine. We also report that 4-OH-8-oxodGuo is produced by the SDO oxidation of dGuo, and can, thus, be used as a SDO biomarker. A more detailed study on the reactivity of ROS with DNA is currently in progress. [Preview Abstract] |
|
KWP.00017: Functionalization of Polymer Surface using Surface-wave Plasma for Immobilization of Sugar Chain Akihisa Ogino, Suguru Noguchi, Masaaki Nagatsu To improve the surface property of polymers, sugar chain such as heparin is covalently immobilized on the polymer surface treated by surface-wave plasma. Plasma treatment is one of first and nontoxic method to modify the surface and to introduce functional group for immobilization of biomolecules. Among various functional groups for bioapplication, primary amine possesses high reactivity to bind oxygen containing functional groups. Heparin was immobilized to plasma treated polyurethane surfaces. The ratio of primary amine increased from 0.1{\%} to 2.5{\%} and 2.6{\%} by ammonia plasma treatment without and with argon plasma pretreatment, respectively. The plasma treatment for 60 s sufficed to saturate the --NH$_{2}$ introduction. The immobilized heparin roughly increased with --NH$_{2}$/C ratio. The primary amine seems to play an important role in heparin immobilization. We inferred that most heparins are covalently bound to the functional groups on the PU surfaces. The effect of immobilized heparin was also confirmed by blood clotting test of the surfaces. Heparin immobilized PU samples after plasma treatment showed much better anticoagulant property. [Preview Abstract] |
|
KWP.00018: Simulation of a inductively coupled hydrogen plasma for sterilization processes Max Engelhardt, Benjamin Denis, Thomas Mussenbrock, Peter Awakowicz Usability of plasmas for sterilization of medical devices is numerously investigated [1]. On short timescales, the main sterilization agent in a gas discharge is the VUV/UV radiation [2]. In order to generate a broad VUV spectrum, a hydrogen discharge can be used. Aim of this work is to simulate a hydrogen discharge in a double inductively coupled plasma (DICP) reactor. The simulation is done with the Hybrid Plasma Equipment Model (HPEM) [3]. The results of the simulation are verified with experimental data of optical emission spectroscopy and mass spectroscopy. Additionally, a Langmuir probe is used to verify the spatially resolved electron density in the discharge. \\[4pt] [1] H Halfmann et al., J. Phys. D: Appl. Phys. 40 (2007) 4145-4154 \newline [2] H Halfmann et al., J. Phys. D: Appl. Phys. 40 (2007) 5907-5911 \newline [3] M Kushner, J. Phys. D: Appl. Phys. 42 (2009) 194013 [Preview Abstract] |
|
KWP.00019: Plasma Enhanced Chemical Vapour Deposition on Cylic Olefin Copolymers from Tetraethyl Orthosilicate and Acrylic Acid for Biosensor Applications Conor Coyle, Ram P. Gandiraman, Chanel Hayden, Vladimir Gubala, David Gahan, David E. Williams, Stephen Daniels, Paul Swift Functionalisation of chip surfaces for bioassays in point of care diagnostics require covalent immobilisation of the capture antibody. Deposition of carboxylic functionalities is achieved using plasma enhanced chemical vapour deposition of acrylic acid using tetraethyl orthosilicate (TEOS) as an adhesion layer to cyclic olefin copolymer substrates. TEOS has been found to act as a network building layer for good functionalisation retention. A comparison between direct deposition of acrylic acid and using TEOS as an adhesion layer demonstrated that the use of TEOS as a network building layer reduced the non specific binding significantly. Correlation between plasma phase and surface characteristics are investigated. Surfaces were characterised using; water contact angle measurements, ATR Fourier-Transform Infrared Spectroscopy, and attachment of amino terminated ssDNA. The plasma phase has been investigated through optical emission spectroscopy, mass spectrometry and Langmuir probe measurements. [Preview Abstract] |
|
KWP.00020: Study on atmospheric plasma jet irradiation to several micro organisms Yoshihito Yagyu, Shouta Sakamoto, Takashi Yamasaki, Nobuya Hayashi, Hiroharu Kawasaki, Tamiko Ohshima, Yoshiaki Suda Novel sterilization using active species produced in plasma is studied in a decade as substitution of practical sterilizing method. Effective plasma sterilization methods have been developed, and simultaneously the mechanism of plasma sterilization also becomes a focus of interest. The structure of cell wall of micro organisms is different among the species. Therefore, sterilizing factors in plasma indicate different reaction to cell walls, and the threshold of mortality by plasma irradiation possibly depends on the species of micro organisms. We applied plasma jet to several species of micro organisms together to examine the possibility to the selectivity of plasma irradiation against several species of micro organisms. The CFU between yeast and lactobacillus strongly depends on irradiation period of plasma jet. However, yeast possibly has tolerance against irradiation of plasma jet because among two micro organisms indicate similar tendency even though a surface area of yeast is more than 10 times larger than lactobacillus. It is expected that ineffective micro organisms will be sterilized selectively by plasma irradiation. [Preview Abstract] |
|
KWP.00021: Atmospheric Pressure rf Microplasma Jet for Nanoparticle Generation Joanne McKenna, Charles Mahony, Paul Maguire, Davide Mariotti Fabrication of nanoparticles (NPs) using atmospheric jets has potential advantages in purity and crystallinity for applications in, for example, biodiagnostics and photovoltaics. Plasma parameters are known to influence NP characteristics (1, 2). We generated silicon based nanoparticles using silicon compound loaded argon gas and compared size and structural properties (TEM/SEM) with plasma parameters. An rf microplasma jet working at atmospheric pressure was generated in a quartz capillary. Electrical and optical measurements were made for a range of plasma variables, including geometry, flow rates and rf powers. Optical emission spectroscopy was performed to derive effective electron temperature using a collisional-radiative model and to identify reactive species generated by the plasma. Voltage/current and phase characteristics were determined using a VI probe. (1) D Mariotti and K Ostrikov\textbf{, } J. Phys. D \textbf{42 }(2009) 092002. (2) I Levchenko, K Ostrikov, D Mariotti, Carbon \textbf{47} (2008) 313. [Preview Abstract] |
|
KWP.00022: Exposure of Plasmid DNA to cold atmospheric pressure plasmas L.J. Cox, K. Niemi, L.M. Graham, T. Gans, D. Gans Much interest has developed in the potential of cold atmospheric pressure plasmas in particular for plasma medicine. These plasmas can be of sizes comparable to cell dimensions, allowing for very precise cell specific treatment, minimising damage to surrounding tissue. It is essential to quantify the impact of the plasma on such material. Reactive oxygen species are of particular importance. It is essential to correlate direct plasma parameters and species with effects on bio-materials. A radio-frequency atmospheric pressure plasma jet, operated in helium with a small admixture of $_{\mbox{O2}}$ is exposed to pCDNA3.1 plasmid DNA. Single and double strand breaks in DNA are ascertained by gel electrophoresis. Certain plasma species densities are measured quantitatively. Atomic oxygen O densities are measured using diagnostic based modeling, ozone $_{\mbox{O3}}$ densities using UV absorption spectroscopy, and singlet delta oxygen $_{\mbox{O2}}$(a$\Delta {\rm p}$ densities using infra-red emission spectroscopy [1]. These densities are directly correlated with DNA damage. This allows attribution of species to certain types of damage, and gives scope to tune the plasma for desired effects [1] J. S. Sousa these proceedings. [Preview Abstract] |
|
KWP.00023: Effects of Nitrogen and Oxygen Radicals on Low-temperature Bio-molecule Processing Iuliana Motrescu, Akihisa Ogino, Shigeyasu Tanaka, Taketomo Fujiwara, Shinya Kodani, Hirokazu Kawagishi, Gheorghe Popa, Masaaki Nagatsu For the past few decades the field of plasma medicine has been gaining a lot of interest and therefore extending its focus towards various spectra of applications. In spite of this growth, the depth of knowledge in each application is evolving with a much slower speed. Our interest in this huge field of plasma medicine is developing an efficient, non toxic, low temperature method to inactivate toxic proteinaceous molecules, such as endotoxin. We have recently carried out the experiment to investigate the possibility of inactivation of peptide molecules, Arginine Vasotocin (AVT), using nitrogen and oxygen plasmas. After plasma irradiation, these bio-molecules change their conformation to lose the biological function. Especially, the AVT treated by nitrogen plasma shows the interesting phenomenon, where the AVT changes its property from water-solubility to water insolubility after nitrogen plasma treatment. To diagnose the plasma characteristics during processing, optical emission and quadrupole mass spectroscopic measurements were carried out. The mechanisms by which microwave plasma is able to modify the structure and bio-function of proteinaceous molecules are investigated. [Preview Abstract] |
|
KWP.00024: Bacteria inactivation effect of ions generated by dc corona discharge Danil Dobrynin, Andrey Starikovskiy, Alexander Friedman, Alexander Fridman Non-thermal plasma generated at atmospheric pressure produces a complex bio-effect caused by reactive molecules, charges, electric fields, and ultraviolet radiation. Here we present the results of experimental study of the effect of DC corona discharge produced ions on inactivation of bacteria on the surface of agar. Both positive and negative corona discharges in various gases at varied humidity were studied. ~ The measurements in N$_{2}$-O$_{2}$-H$_{2}$O mixtures show that there is no inactivation in pure N$_{2}$, pure O$_{2}$, and N$_{2}$-H$_{2}$O. Contrary, in the mixtures containing O$_{2}$ and H$_{2}$O simultaneously (humid air, O$_{2}$-H$_{2}$O mixture) the same inactivation efficiency was achieved. These results show that neither UV radiation, ozone, H$_{2}$O$_{2}$, nor other neutral active species alone produced by corona in dry oxygen, dry nitrogen or nitrogen-water mixtures have an effect on bacteria viability. Also, it is shown that charged particles alone do not provide visible bacteria inactivation. From the other hand estimations show that in O2-H2O mixtures it is required $\sim $1000 ions/bacteria for 5-log inactivation. [Preview Abstract] |
|
KWP.00025: Flexible Control of Pulsed Power for Biomedical Applications using PC Masahiro Akiyama, Mamoru Suemitsu, Eri Shiraishi, Yasuhiro Ohshima, Takahisa Ueno, Takashi Sakugawa, Hidenori Akiyama This work aims to control pulsed power for biomedical fields, which demand sensitive parameters. The pulse control area includes pulse interval, pulse shot number, output voltage. To achieve this system, a pulsed power system exists including software running on PC, universal serial bus (USB) for connection, and FPGA controller. Using the software for complex control of pulsed power will enable expansion into various fields with easy operation. [Preview Abstract] |
|
KWP.00026: Decontamination of thermolabile medical goods in an industrial-grade setup: A parameter study Egmont Semmler, Benjamin Denis, Simon Steves, Nikita Bibinov, Peter Awakowicz, Wenzel Novak The decontamination of thermolabile pharmaceutical products is of growing interest in research and application. Available methods like toxics (ethylene oxide) or electron beam sterilization have either issues in handling and security or produce toxic remnants, which need to be taken care of in additional process steps. Plasma sterilization poses an alternative treatment with several advantages, especially for thermolabile medical goods. Therefore an industrial-grade process was developed in close cooperation with groninger {\&} co. gmbh. Its application is the outer decontamination of syringe containing tubs before they are filled in an aseptic room. In order to maximize process performance, different parameter variations such as duty cycle, pulsing frequency, pressure and gas composition have been investigated with respect to plasma behavior and microbiological relevance. Therefore spatially resolved Langmuir probe measurements in combination with calibrated optical emission spectroscopy have been performed. Results clearly show an optimization regime both for chamber design as well as for process parameters. [Preview Abstract] |
|
KWP.00027: Non-thermal Plasma Infection Control David Graves, Mathew Pavlovitch, Zhi Chen, Douglas Clark, Yukinori Sakiyama Healthcare-associated infections are among the major causes of death among hospitalized patients worldwide. Atmospheric pressure non-thermal plasmas offer great opportunities for helping to control infections by killing microbial targets on surfaces, including skin and wounds. In this talk, we report results from both experimental measurements and modeling of air and rare gas jet plasmas interacting with various surfaces. In some cases, direct comparison of model predictions to measurements of gas phase reactive species can be made. Results from plasma disinfection of inanimate, dry and moist surfaces, with both direct and indirect plasma exposure, against various microbial targets, will be presented. Plasma characterization includes voltage-current characteristics, optical emission spectroscopy, and for selected polymer films, surface modification via Fourier Transform Infrared Spectroscopy. [Preview Abstract] |
|
KWP.00028: Diagnostics on atmospheric pressure plasmas and their relevance for plasma medicine Stephan Reuter Non-equilibrium atmospheric pressure plasmas play a major role in the growing field of low temperature plasma applications, especially in those areas where vacuum equipment cannot be utilized. Radio-frequency driven atmospheric pressure plasma jets (APPJ) can provide high reactive species concentrations at low gas temperatures, important e.g. for modification of sensitive surfaces in biomedicine or in interaction with DNA. The desire to gain control over the output of the discharges calls for a detailed insight into the plasma chemical processes [1]. Specifically oxygen species are of interest in plasma medicine. This work presents diagnostics of reactive oxygen species in an atmospheric pressure plasma, relevant for plasma medical applications. \\[4pt] [1] S. Reuter, K. Niemi, V. Schulz-von der Gathen, and H. F. D\"{o}bele, Plasma Sources Sci. Technol., \textbf{18}, 015006 (2009) [Preview Abstract] |
|
KWP.00029: The antimicrobial activity of an atmospheric pressure, room-temperature plasma in a simulated root canal infected with \textit{Enterococcus faecalis} Xincai Zhou, Zilan Xiong, Yinguang Cao, Xinpei Lu, Dexi Liu The antimicrobial activity of an atmospheric pressure, room-temperature plasma jet on the simulated root canals infected with \textit{Enterococcus faecalis} is studied. The samples are divided randomly into 12 experimental groups and one control group. All experimental groups exhibited a significant reduction in viable bacteria compared with the control group (P$<$0 .01). The largest reductions were obtained in Group 9 (Plasma jet containing 5.25{\%} sodium hypochlorite sterilization for 12 min after irrigating root canals with 1 ml sterile physiologic saline) and Group 12 (Plasma jet sterilization for 12 min after irrigating root canals with 1 ml sterile physiologic saline), 6.21 and 5.62 log reductions, respectively. It is concluded that the plasma jet containing 5.25{\%} sodium hypochlorite, as well as the plasma jet only, can effectively sterilized the simulated root canals. [Preview Abstract] |
|
KWP.00030: A materials science and biological study of antimicrobial activity of plasma deposited zinc acetylacetonate Luis Duque, Geraldine Muelley, A.T.A. Jenkins, Renate Foerch Antibacterial and non-cytotoxic implant coatings have been subject of increasing interest because of the toxic effects of biocide release coatings based on different heavy metals that are bioaccumulabe. Herein, a concept for antimicrobial coatings is developed on the basis of the bactericidal and cell friendly effects of Zinc. In this work, Zn-doped composite films were deposited from an organometallic precursor (Zinc acetylacetonate) by plasma enhanced chemical vapor deposition (PECVD). Chemical composition and antibacterial properties of the Zinc-organic composite thin films deposited under various conditions are reported. Results showed that the bactericidal effect was enhanced by increasing the amount of Zn in the coating, being more effective against Gram positive bacteria. [Preview Abstract] |
|
KWP.00031: Inactivation mechanism of \textit{Penicillium digitatum} spores by atmospheric pressure argon plasma Takayuki Ohta, Takumi Mori, Masufumi Ito, Sachiko Iseki, Masaru Hori Inactivation of microorganisms using a plasma processing method has attracted much attention. Inactivation factors, such as ultraviolet light, neutral and charged species, electric field, and synergic effects, have been intensively studied. O or OH radicals are important factor for the inactivation due to oxidation-decomposition of cell membrane. In this study, the spores of \textit{Penicillium digitatum} were observed by using fluorescent microscopy in order to investigate the effect of oxidation-decomposition. The membrane of \textit{P. degitatum }spore was successfully stained by DiI. The DiI was used as a vital fluorescence membrane dye. The spore inactivated by the nonequiribrium atmospheric pressure plasma was compared with that by the ultraviolet sterilization lamp. The cell membrane was successfully stained by DiI. The cell nucleus of some spores emits the fluorescent light by DiI in the case of the plasma treatment while the fluorescent of the cell nucleus with the UV lamp treatment was not observed. For the living cell, the DiI is not permeable because the membrane has selective permeability. Thus, these results indicate that the cell membrane was destroyed by reactive species produced from the plasma due to the oxidation-decomposition. [Preview Abstract] |
|
KWP.00032: Influence of the silver containing plasma polymer matrix design on the releasing profiles enabling both antibacterial and cytocompatible properties Enrico K\"orner, Dirk Hegemann Plasma generated coatings and films in the nano-scale are of high interest for various application fields, e.g. in the medical sector. New materials are investigated regarding their biomedical properties and potential in the field of biotechnology. Different strategies against bacterial colonization are already available but still it remains a major problem. The exact interplay between antibacterial effectiveness and cytocompatibility is a great issue. Silver (Ag) is an efficacious antibacterial agent. Small amounts of Ag (nano-scale) already show antibacterial properties. It is important to develop antibacterial products that contain an optimal amount of Ag avoiding (cytotoxic) overdoses. Functional hydrocarbon plasma polymer coatings with embedded Ag particles were deposited using an asymmetric RF plasma reactor at low pressure (10 Pa). The plasma polymer is produced with a reactive gas/monomer mixture of CO2/C2H4. Ar was added in order to sputter Ag atoms from the Ag cathode and form nanoparticles in the growing polymer matrix. The Ag content of the coatings was found to be adjustable by power input and gas ratio. The particle size can be controlled by CO2 addition and power input. An increasing Ag content of the coatings consequentially yields a higher Ag release over a timescale of 14 days showing antibacterial properties. [Preview Abstract] |
|
KWP.00033: Study on the Prevention for the Adhesion Using the Atmospheric-Pressure Plasma Hajime Sakakita, Yuzuru Ikehara We have been studied new method to suppress the adhesion inflammation after the surgical operation. Typically, electrical fulguration has been used to coagulate the blood bleeding. However, this type of hemostatic method has possibilities to induce severe adhesion after the operation. To suppress the adhesion is one of the important issues on the medical care. We have been studied the hemostatic method using the atmospheric pressure plasma. It was already confirmed that our method to stop bleeding is very effective from the view point of immediate coagulation, controllability, and control of tissue damages. Now we have been adopted our plasma to study the influence on the cells against the adhesion. Experimental methods and results will be presented in detail at the conference. [Preview Abstract] |
|
KWP.00034: Extracellular matrix patterning by atmospheric pressure plasma jets Ayumi Ando, Toshifumi Asano, Ryugo Tero, Katsuhisa Kitano, Tsuneo Urisu, Satoshi Hamaguchi The aim of this study is to develop a new pattering method of extracellular matrix (ECM) films by low-frequency atmospheric-pressure plasma jets (LF plasma jets). Micro patterning techniques of ECM to arrange living cells on a silicon substrate in a desired pattern are an important subject for the development of biosensor and biochip technologies. LF plasma jets may be used for such patterning because of their ability to produce highly reactive radicals while maintaining low-gas temperatures. Fluorescently-labeled ECM films deposited on a silicon substrate were irradiated by LF plasma jets with a metal stencil mask with several hundred micrometres size silts. The observation by a fluorescence microscope shows that ECM films were patterned following the stencil mask by the plasma irradiation for 15 seconds uniformly to the substrate. Adherence to and proliferation on the patterned ECM of living human cells were also confirmed. [Preview Abstract] |
|
KWP.00035: Sterilization of bacteria at low discharge voltage by using microplasma Kazuo Shimizu, Yuuki Komuro, Marius Blajan In this paper, Gram-negative Escherichia coli JCM20135 and Gram-positive Bacillus subtilis JCB20036 were used as the target to be sterilized. The experiments were performed with air and nitrogen as the carrier gas, in order to investigate the influence of different radical species in the microplasma, on the bacteria cultures. Two stainless steels electrodes covered with dielectric barrier materials were faced together. By applying a high frequency AC voltage (about 25 kHz), microplasma was generated between the electrodes. Sterilization by microplasma was confirmed at a relatively low discharge voltage of 1.0 kV when the carrier gas was both air and nitrogen. The sterilization rate by air plasma was better than the results by nitrogen plasma due to the combination effect of ozone, high electric field, UV radiation and other radicals. The sterilization rate of E. coli was better than the results for B. subtilis due to the characteristics of the cell wall, which is different in thickness and chemical composition. [Preview Abstract] |
|
KWP.00036: Functionalisation of surfaces using plasma polymerization for biosensor applications Stephen Daniels, R.P. Gandhiraman, V. Gubala In point of care diagnostic device platforms, immobilization of the biorecognition reagents to the polymer surface in a rapid, repeatable and controllable fashion remains a key issue. For a biosensor to work efficiently, biomolecules have to be immobilized on surfaces in their biologically active state with low non specific binding. We present a strategy for the preparation of amine-functionalised surfaces on Zeonor, a type of cycloolefin polymer, using PECVD. Amine functionalities are introduced using 3-aminopropyltriethoxysilane (APTES) and ethylene diamine EDA precursors. The reactivity of free amino groups in the coatings was evaluated by dip coating the samples in an amino-reactive fluorophore solution (lissamine rhodamine B sulfonyl chloride). Subsequently, their average fluorescence emission was measured. The distribution of amine groups on the polymer surface was carried out using amino-functionalized silica nanoparticles. The applicability of such coatings for biosensor platforms was demonstrated through the coupling of oligonucleotides to produce microarrays containing DNA. As a first step towards application of this method, we have successfully demonstrated surface activation of a next-generation prototype. [Preview Abstract] |
|
KWP.00037: OTHER PLASMA TOPICS |
|
KWP.00038: Electrical Extractions of One Dimensional MOSFET Doping Profiles and Effective Mobility in DRAM Transistor Hyunho Park, Kong-soo Lee, Seok Il Kwon, Kwang-Ryul Kim, Byoungdeok Choi The doping profiles of lightly doped semiconductors are most commonly determined from capacitance-voltage measurements for junction devices. Such C-V measurements work well for large-area devices and lightly doped device, but they are not very suitable for high doping concentrations found in heavily doped devices. And the channel region under the MOSFET gate has an additional limitation. The small gate area has very small capacitances that are difficult to measure, making C-V based techniques difficult or impossible. In view of these experimental difficulties, so we tried electrical doping profiling measurement for MOSFET with short gate length and ultra thin oxide thickness and checked an agreement with ISE simulation results. We could get effective mobility by simple drain current vs drain bias voltage measurement. Exact mobility value could not extracted exactly because of mobile charge density approximation error, but could be applied for various hot carrier injection cases by comparing relative values fresh versus after stress characteristics. [Preview Abstract] |
|
KWP.00039: Electron emission characteristics of the single-grid ICP ion source with RF biasing Dmytro Rafalskyi, Stanislav Dudin The experimental results of the electron and ion emission characteristics investigations for two single-grid ICP ion sources with sufficiently different dimensions are reported. It is found that the extracted electron current from the single-grid ICP ion source with RF biasing can be sufficiently higher that the emitted ion current and is defined by the grounded electrode geometry. In any case, the quantity of electrons arriving to the target is enough for the full ion beam current compensation. It is shown that the asymmetry of the ion and electron currents to the target can be explained by the existence of potential maxima in the centers of the grid holes. [Preview Abstract] |
|
KWP.00040: Design and experimental implementation of real time closed loop control of plasma processes Bernard Keville, Miles Turner, Yang Zhang, Stephen Daniels, Anthony Holohan Ostensibly identical plasma etching chambers running the same recipe may produce different results. ``Chamber matching,'' which entails ex situ statistical analysis and consequent adjustment to ensure acceptable results, is costly and time consuming. In addition, a matched chamber may be subject to real-time disturbances which compromise reproducibility. Effective closed loop control of important reactive plasma species may obviate the need for chamber matching and mitigate the deleterious effects of disturbances. This work indicates how a control algorithm may be derived given a dynamic, control-oriented process model and closed loop specifications. Experimental implementation of the algorithm on a capacitively coupled plasma chamber is described. Finally, control of oxygen and fluorine radicals in an argon/oxygen/fluorocarbon plasma simulation is considered. [Preview Abstract] |
|
KWP.00041: Effect of resistivity on Alfven Surface Waves Propagating Along Plasma-Vacuum Interface Nagendra Kumar, Vinod Kumar, Himanshu Sikka We study the effect of resistivity on Alfven surface waves (ASW) propagating along partially ionized plasma-vacuum interface. We consider a partially ionized medium consisting of viscous incompressible ionized gas and neutral gas. A dispersion relation is obtained for such waves and solved numerically. The variations of real and imaginary parts of wave number k with viscosity parameter for different values of resistivity and other parameters are shown graphically. It is concluded that two mode structure of Alfven surface waves results due to the effects of resistivity, viscosity and ion-neutral collisions taken simultaneously. These results are useful to study the ASW in laboratory ad Astrophysical plasmas e.g. photosphere, chromosphere and interstellar clouds. [Preview Abstract] |
|
KWP.00042: Droplet Measurements in Misty Plasmas Daisuke Ogawa, Lawrence Overzet, Matthew Goeckner We present measurements on how plasmas affect micro-droplet evaporation. The size of liquid droplets was measured with and without the presence of plasma using laser scattering. A SprayTec{\textregistered}, droplet sizing instrument enables the continuous measurement of the size distribution of droplets with 100 $\mu $sec time resolution by utilizing Mie scattering theory and an equivalent spheres technique. In addition, our preliminary calculations indicate that an argon plasma density of $\sim $ 5$\times $10$^{10}$ cm$^{-3}$ and $T_{e}$ = 3 eV at 100 mTorr should reduce the hexane droplet evaporation time by nearly an order of magnitude. Comparison to dusty plasmas also indicates that droplets smaller than 1 $\mu $m could be levitated by the plasma sheath. In our case, hexane droplets are found to be $>$ 10 $\mu $m and are injected to vacuum with substantial kinetic energy. As a result the transport time of the droplets through the plasma is only 10 msec. The achievable plasma density and temperature in our present reactor are less than the values needed to fully evaporate hexane droplets in this short time. We will show our measurements of droplet size and evaporation for a series of liquid droplets including hexane, pentane, ethanol, and ethylene glycol. [Preview Abstract] |
|
KWP.00043: Influence of target curvature on ion acceleration from thin foils by circularly polarized laser pulse Deepak Dahiya, Ashok Sharma Ion acceleration from foil target irradiated by a circularly polarized laser is studied using multidimensional particle-in-cell simulations. Convex, Flat and concave target shapes are considered. Radius of curvature of curved target is of the order of laser width in transverse direction. Accelerated ion beam of highest peak energy and least energy spread is obtained from concave target, whereas total accelerated charge is highest in convex target. The result can be attributed to change in growth of transverse instabilities and geometrical effects due to target curvature in initial stages of acceleration process. [Preview Abstract] |
|
KWP.00044: PLASMAS IN LIQUIDS |
|
KWP.00045: Study on carbon nanotube preparation using arc discharge in liquid helium Hiroharu Kawasaki, Toshinobu Shigematsu, Yoshirou Terasaki, Tamiko Ohshima, Yoshihito Yagyu, Yoshiaki Suda Arc in liquid method has been developed as a cost-effective technique to fabricate various kinds of carbon nanomaterials. In liquid nitrogen, especially high-quality multi-wall carbon nanotubes were observed. Our research aims at creating carbon nanomaterials using contact arc method in liquid nitrogen and in liquid helium. For this research, a special evaporation cryostat, which has moving parts at low temperature part, is prepared. Experiments in liquid nitrogen were carried out at current density 8, 10, 12 and 14 kA/cm$^{2}$. At current density 10 and 12kA/cm$^{2}$ ample fibrous carbon nanomaterilas could be obtained. Then, based on the results of discharged experiments in liquid nitrogen, productive experiments were done in liquid helium. At that time, in discharged experiments, ample carbon clusters could be observed at current density 10kA/ cm$^{2}$. Using TEM Imaging, it turn out that these clusters were multiwall carbon nanotubes. In them, needle-shape carbon clusters can be observed. Their diameters range approximately from 10nm to 30nm and some of them are longer than 1$\mu $m. Though the difference of diameter is related to the number of walls, it is not possible to find out how may walls these clusters have because of the low sensitivity of TEM imaging. [Preview Abstract] |
|
KWP.00046: Physical properties of DC discharge generated in He, Ar, N$_{2}$ and Air bubbles in liquid Anton Nikiforov, L. Nemcova, Ch. Leys, F. Krcma DC excited discharge in liquid generated in bubbles of different gases (He, Ar, Air, N$_{2})$ has been investigated. V/C characteristics and emission spectra have been recorded in the range of discharge current from 10 up to 30 mA. Visual view of the discharge by fast imaging technique shows that plasma consists of filaments propagated in bubble in case of N$_{2}$ and Air and uniformly distributed in bubble in He and Ar. Gas temperature has been determined by simulation of OH band with different T$_{rot}, $T$_{vib}$. Fitting of the experimental spectra has been carried out with two different rotational temperatures in order to take into account overpopulation of the OH radicals V/T distribution. It was revealed that for 5 micosS/cm conductivity T$_{rot}$ in He discharge is 1200 K at I=10 mA and linearly increase up to 1600 K with grows of the current. Similar increase of T$_{rot}$ from 1100 K (10 mA) to 1700 K (30 mA) is observed in Ar bubble discharge. In N$_{2}$ and Air plasma gas temperature is higher and almost constant at different currents: N$_{2}$ discharge T$_{rot}$=2200 K (10 mA) and 2500 K (30 mA); Air discharge T$_{rot}$=2200 K (current 10-30 mA). [Preview Abstract] |
|
KWP.00047: Carbon Based Nanostructures via RF plasma in liquids Feisal Kroushawi, Ali Panahpour, Mohamad Mahdi Majidof, Hamid Latifi In this paper we introduce a simple method for producing carbon based Nanostructures RF discharges in Liquid Hydrocarbons.The setups include two immersed brass electrodes in the liquid. RF power supply is connected to the plasma chamber via impedance matching box. After introducing RF wave to the powered electrode, bright plasma is initiated near this electrode. The RF source is operated at 85MHz and up to 1500 watt output to excite the plasma. Ethanol, Methanol and acetone are used in the experiments. We used the liquid because of suppressing effects of liquid in particle formation processes and also because of its high density where reaction rates are high. The plasma is investigated by optical emission spectroscopy and the products are analyzed by SEM, XRD and Raman Spectroscopy methods. The Images shows that Carbon Nanoparticles in the range of s 50-150nm is produced. This range is correlated with input power, liquid type and liquid temperature. The optical emission spectroscopy revealed the existence of radicals H, OH. This method of Nanoparticle generation is fast, simple and inexpensive. [Preview Abstract] |
|
KWP.00048: Investigation of the temporal development of a spark channel in water Kyoung-Jae Chung, Jeong-jeung Dang, Yuna Lee, Sooseok Choi, Seok-guen Lee, Y.S. Hwang Temporal behaviors of a pulsed spark channel in water were investigated both numerically and experimentally. In a cylindrical chamber filled with water, a pulsed spark discharge was generated by applying high voltage pulse to the electrode at the center of the chamber. The intensity of a pressure wave along the distance from the spark channel as well as the voltage and current waveforms were measured during the discharge. In the numerical approach, one-dimensional magneto-hydrodynamic equations were solved in the cylindrical coordinate with the equation of state for the high-temperature water plasma up 50,000K. The pulsed power system based on the capacitive energy storage was also included in the numerical analysis because the rate of an electrical energy input as a heating source for the channel is critical in this type of discharge. The expansion of the spark channel and the shock front were measured with various discharge conditions such as the charging voltage and the capacitance of the storage capacitor. The measured intensity of the pressure wave as well as the current and voltage waveforms was compared with the numerical results. [Preview Abstract] |
|
KWP.00049: Synthesis of sp$^{3}$ bonded carbon nano materials by supercritical fluid plasma Tomoki Shizuno, Sven Stauss, Hiroyuki Miyazoe, Sho Nakahara, Koya Saito, Minoru Suzuki, Takehiko Sasaki, Kazuo Terashima We report the fabrication of nano diamond particles by supercritical fluids plasmas. Our aim is to synthesize molecular diamonds, which are expected to be applicable in a wide range of technical fields. For this, we generated dielectric barrier discharges in supercritical xenon (critical point: 16.6$^{\circ}$C, 5.84 MPa) dissolving adamantane (5.0$\times $10$^{-2}$g/cm$^{3})$. Raman spectroscopy measurements showed a peak at 1332 cm$^{-1}$, and it was found that more than 99{\%} of the contained carbon atoms assume sp$^{3}$ bonds. Bright field TEM images showed grain sizes varying from 5 to 20 nm, and the observed lattice spacing was approximately 0.20 nm, corresponding the (111) lattice spacing of diamond. Also, the diffraction patterns were similar to that of diamond along the [110] zone axis. These results support the synthesis of nano diamond particles from adamantane. [Preview Abstract] |
|
KWP.00050: Mass spectrometry investigation of positive and negative ions generated by a RF capacitively coupled discharge at atmospheric pressure in He-H$_{2}$O Yolanda Aranda Gonzalvo, Felipe Iza, Peter J. Bruggeman An RF excited Atmospheric pressure glow discharge APGD (13.56MHz) between two parallel bare metal plate electrodes in He-H$_{2}$O mixtures has been investigated by molecular beam mass spectrometry (MBMS) at the plasma sheath. The choice of water is motivated by the important presence of OH in atmospheric plasmas with liquid interaction and its growing interest. The dependence of the water concentration at constant power of the ionic species for both positive and negative ions is investigated. Positive and negative ion cluster formation increases with increasing concentration of water vapour at constant power of 20W. For all the investigated concentrations of He-H$_{2}$O mixtures the dominant positive ions are H$_{3}$O$^{+}$, OH$^{+}$, O$^{+}$, He$_{2}^{+}$, HeH$^{+}$, O$_{2}^{+}$ and H$_{3}^{+}$. For negative ions dominant ion is OH$^{-}$ and its clusters. In view of the low concentration of water at which negative ions start to influence the plasma properties, the effect of negative ions in atmospheric pressure plasmas with small amounts of water vapour or O$_{2}$ will be important. [Preview Abstract] |
|
KWP.00051: Laser ablation synthesis of nano-carbon materials in supercritical fluids Sho Nakahara, Sven Stauss, Hiroyuki Miyazoe, Tomoki Shizuno, Minoru Suzuki, Hiroshi Kataoka, Takehiko Sasaki, Kazuo Terashima We report the synthesis of nano-carbon materials by laser ablation of highly oriented pyrolytic graphite in supercritical xenon, using a second harmonic pulsed Nd:YAG laser. Transmission electron microscopy observation and micro-Raman study of synthesized product revealed the presence of nanocrystalline diamond and nanoparticles containing sp$^{3}$ CH$_{x}$ bonds. Furthermore, the synthesis of diamantane and possibly pentamantane and heptamantane was confirmed by gas chromatography-mass spectrometry. [Preview Abstract] |
|
KWP.00052: PLASMA ETCHING |
|
KWP.00053: Analysis of GaN damage induced by Cl$_{2}$/SiCl$_{4}$/Ar plasma Masaki Minami, Shigetaka Tomiya, Kenji Ishikawa, Ryosuke Matsumoto, Masanaga Fukasawa, Fumikatsu Uesawa, Masaru Hori, Tetsuya Tatsumi GaN-based semiconductors are currently used for optoelectronic device applications, such as laser diodes. The fabrication processes have many problems like plasma-induced damage (PID), which degrades the devices' optical characteristics. In this work, we investigated the PID mechanism of GaN. GaN/InGaN/GaN stacked structure was epitaxially grown on a sapphire substrate. The samples were etched by inductively coupled plasma and analyzed by using photoluminescence (PL). By irradiating the Cl$_{2}$/SiCl$_{4}$/Ar plasma, PL intensity decreased regardless of the etched depth. The remaining GaN is thicker than ion penetration depth (1.3 nm). To ignore the effect of radicals, ions, and UV light by Cl$_{2}$ plasma, we also used ion beam apparatus. Samples were also exposed to Cl$_{2}$ ion beam without SiO$_{2}$ window (UV light and ions), and with (UV light only). PL intensities were decreased in both samples. From these results, we concluded that the UV radiation from the plasma affects damage formation at the InGaN single quantum well. Also, we can see that simultaneously irradiating UV and ions slightly increases the damage. There can be a synergy effect between UV and ions. [Preview Abstract] |
|
KWP.00054: A Model for Effects of RF Bias Frequency and Waveform on Si Damaged-Layer Formation during Plasma Etching Koji Eriguchi, Yoshinori Takao, Kouichi Ono We propose a simplified model for damaged-layer formation on Si surface induced by high-energy ion bombardment. The model is based on so-called range theory and introduces a stopping power with a power-law dependence on the incident ion energy. We applied the model to damaged-layer formation in plasma with an rf bias, by focusing on bias frequency and the waveform. The resultant ion energy distribution functions (IEDF) were considered, and the distribution profile of defect sites created by plasma was simulated. We found that, owing to the characteristic stopping power and the straggling (i.e., stochastic effects), the impacts of bias frequency and the waveform were subject to suppression, i.e., the thickness of the damaged layer is a weak function of bias configuration. These predicted features were compared with experimental data using an inductively coupled plasma reactor with two different bias frequencies; 13.56 MHz and 400 kHz. The model prediction showed good agreement with experimental data. [Preview Abstract] |
|
KWP.00055: Optimization of Plasma Parameters for Etching of Superconducting Radio Frequency Cavity surfaces in an Ar/Cl$_{2}$ RF plasma Janardan Upadhyay, Svetozar Popovic, Lepsha Vuskovic, Larry Phillips, Anne-Marie Valente- Feliciano Superconducting radio frequency cavities of bulk Niobium are integral components of the particle accelerators based on superconducting technology. The impurities, surface defects, and surface roughness are the main obstacles for a better RF performance of these cavities. At present, we study RF plasma in Ar/Cl$_{2}$ atmosphere for plasma etching to prepare the inner surface of such cavities. We describe the electro-optical probe for radiation and particle diagnostics of the discharge. Multiple electro-optical probes for simultaneous measurements of the plasma properties at different points inside the cavity are being developed. The variation of spatial distribution of plasma properties with frequency and power of the RF power supply are measured. The optimized frequency and power regime suitable for etching of elliptically shaped single cell cavities will be selected. The etching results for samples placed on the cavity contour will be presented. [Preview Abstract] |
|
KWP.00056: Applications of the isotropic etching on roughening and smoothing of substrates composed of different materials in nanotechnologies Marija Radmilovic-Radjenovic, Branislav Radjenovic In this paper a level set method has been applied in order to study the influence of the isotropic etch process on dynamics of the roughening and smoothing of the nanostructures. The main goal of this paper is to show how the same process such as isotropic etching leads to roughening of the nanocomposite materials while in the case of homogeneous materials can lead to a smoothing. The obtained three-dimensional (3D) simulation results clearly indicate that surface roughness could be reduced by the isotropic etching. It was also found that some roughness characteristics obey simple scaling laws. Results, presented here, apart from their theoretical relevance, have practical implications for surface treatments of various materials. [Preview Abstract] |
|
KWP.00057: Three dimensional simulation of the surface roughness induced by the isotropic and anisotropic etch processes Marija Radmilovic-Radjenovic, Branislav Radjenovic One of the limiting issues in applications of plasma etch process in new generations of plasma technologies will be the control of surface roughnes As devices become smaller, reactive ion etching (RIE) has become a key process in anisotropic etching of semiconductor features. Ion-enhanced etching works by a combination of physical and chemical mechanisms to achieve selectivity and anisotropicity during the etching process. Finding the effective ways for controlling the morphology of nanophase materials plays a crucial role in nanotechnology dictating development of advanced nanostructured materials. Decreasing the roughness of a surface will usually increase exponentially its manufacturing costs. In this paper, simulation results illustrating roughening of nanocomposite materials during both isotropic and anisotropic etching based on the level set method are shown. The obtained three-dimensional (3D) simulation results clearly demonstrate that the presence of two phases with different etch rates takes effect on development of the surface roughness. [Preview Abstract] |
|
KWP.00058: Atmospheric Pressure Plasma aided a-Si Etching for Large Area TFT{\_}LCD Manufacturing Taihyeop Lho, Dong-Chan Seok, Seung-Reul Yoo, Bong-Ju Lee Atmospheric Pressure plasma aided a-Si etching for large area TFT-LCD manufacturing has been developed. DBD (Dielectric Barrier Discharge) was used for plasma generation. SF$_{6}$ gas is used as etchant gas with N$_{2}$ as a carrier gas. The plasma etcher is configured in-line system which is the glass moving system under the fixed plasma source. The maximum etch rate reaches almost 500 nm/min which is based on the plasma contact time. Hydrogen gas has been adopted for selectivity of a-Si to SiN$_{x}$. The dramatic enhancement of selectivity by addition of H2 is shown. The temperature of glass is a critical parameter for the a-Si etching at atmospheric pressure. [Preview Abstract] |
|
KWP.00059: ABSTRACT WITHDRAWN |
|
KWP.00060: Numerical and Experimental Investigations of Plasma Etching and Deposition Processes applied in STI Stefan Tinck, Annemie Bogaerts The etching of Si with an Ar/Cl$_{2}$/O$_{2}$ inductively coupled plasma (ICP) and the deposition of SiO$_{2}$ with an Ar/SiH$_{4}$/O$_{2}$ ICP as applied in shallow trench isolation (STI) will be discussed. Experimental and modeling results are compared for a better understanding of these processes. Special attention will be paid to the plasma surface interactions on the Si substrate and the reactor walls and how these surface processes influence the properties of the plasma. During the trench etching of Si with an Ar/Cl$_{2}$/O$_{2}$ ICP, etched or sputtered SiCl$_{x}$O$_{y}$ species will be loaded into the plasma, can redeposit onto the reactor walls and can eventually redeposit onto the substrate again, altering the resulting etch/deposition process. To gain better understanding of these loading effects, a model is applied to calculate the general plasma properties, the fluxes of etched/sputtered species loaded into the plasma and redeposition of these species onto the reactor walls. For this, a bulk plasma reaction set and a surface-chemistry reaction set are used simultaneously during the simulations. Etch and deposition rates predicted by the model are compared with experimental data. [Preview Abstract] |
|
KWP.00061: What We Learned and Used in the first Inductively Coupled Plasma, ICP, for Plasma Processing and in later development John H. Keller The first ICP for Plasma Processing, also called Radio Frequency Induction, RFI, were developed at IBM, East Fishkill, NY, USA. These were extremely efficient at producing high density plasma. This paper will discuss: 1) How to drive the antenna to get high plasma density with low plasma voltage and low capacitively coupled plasma, CCP, losses, 2) Low matching losses, 3) Optimum way (presets) to start an ICP, 4) Frequency effects and 5) Achieving high plasma stability. [Preview Abstract] |
|
KWP.00062: Study on modified surface layer of photoresist employing fluorocarbon ion beam and radicals Takuya Takeuchi, Shinpei Amasaki, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda, Makoto Sekine, Masaru Hori, Ikuo Sawada, Song-Yun Kang High-aspect ratio pattern etching processes with nano-scale accuracy is desired in such as a contract hole etching for the silicon dioxide that is used as a dielectric passivation layer over MOSFETs. However, photoresist (PR) used in the advanced ArF lithography is not tolerant enough for plasma etching processes. Some kinds of degradation of the PR during etching might cause not only low selectivity, but also the line edge roughness (LER) on the sidewalls of etched patterns. For a highly accurate processing, it is necessary to understand the etch mechanisms of PR and to construct a new plasma chemistry that realizes a nano-scale precise pattern definition. In this research, we employed a plasma beam system to expose active species, i.e. ions and radicals, produced in fluorocarbon plasma to ArF PR, and the modified surface layer of ArF PR was analyzed and discussed. It is expected that the ArF PR surface was modified immediately and C-C graphitic peak appeared following a thin fluorocarbon layer formation and the reduction of C=O binding. [Preview Abstract] |
|
KWP.00063: 3-dimentional atomics-scale cellular model and feature profile evolution during Si etching in chlorine-based plasmas: Analysis of profile anomalies and surface roughness Hirotaka Tsuda, Hiroki Miyata, Yoshinori Takao, Koji Eriguchi, Kouichi Ono This paper focuses on the formation mechanisms of profile anomalies such as microtrench and surface roughness during plasma etching, by using our new semi-empirical 3-dimentional atomic-scale cellular model (ASCeM-3D) and feature profile simulation based on the Monte Carlo algorithm. The ASCeM-3D model represents Si trenches being etched in chlorine-based plasmas. The simulation domain is divided into a number of small square cells of atomic size of 2.7 \AA, corresponding to the interatomic distance in Si substrates. The ASCeM-3D model takes into account surface chemistries based on the Monte Carlo algorithm, including physical sputtering, ion-enhanced etching, chemical etching, reemission of neutrals, deposition of etch products and by-products, and sputtering of deposited layers and oxidized surfaces. The ASCeM-3D also includes two-body elastic collision processes between energetic ions and Si substrate atoms, to simulate the ion penetration into substrates and the ion scattering from feature surfaces on incidence. By comparing the numerical and experimental results, we analyze the structure of nanometer-scale surface roughness and discuss plasma-surface interactions that cause profile anomalies and surface roughness. [Preview Abstract] |
|
KWP.00064: Improvement of Photoresist selectivity during etching silicon oxynitride using SF6 gas Namgun Kim, Sungil Cho, Chulho Shin, Sangsup Jeong, Seokwoo Nam As the resolution of pattern shrinks, the etching selectivity of photoresist(PR) to inorganic films becomes critical to pattern transfer. However, the conventional fluorocarbon chemistry with O2 has the limitation to enhance the selectivity. We tried to develop the new chemistry with sulfur hexafluoride (SF6) gas for etching organic anti-reflect layer (OARC) and SiON layer. PR selectivity increased by substitution of O2 with SF6 in the conventional fluorocarbon condition, but in the same chemistry, remaining PR thickness decreased with amount of SF6. Moreover, the morphology of remaining PR was improved. The optical emission spectroscopy (OES) reveals that F radicals increase with SF6, but other radicals of carbon mono- sulfide (CS) and sulfur (S) also produced. F radical produced from SF6 etched out the OARC and SiON layer so that O2 can be replaced with SF6. To clarity the SF6 effect on the PR selectivity and morphology, we have monitored XPS and FT-IR. It was found that introduction of SF6 results in sulfur passivation of etched PR. Based on these analyses, we can conclude that S from SF6 changed the PR surface to C=S which protected the surface to erosion. [Preview Abstract] |
|
KWP.00065: Patterning of 25-nm contact holes at 90-nm pitch: combination of L/S double exposure immersion lithography and plasma-assisted shrink technology Jean-Francois de Marneffe, Frederic Lazzarino, Henrik Johansson, Vincent Truffert, Werner Boullart Extreme ultraviolet lithography (EUVL) is the leading candidates for the 16nm CMOS technology node and beyond. However, this technique still has to be improved before being able to provide enough test material for etch development. It is therefore of interest to imagine an alternative approach, allowing plasma etch scientists to study the through-node scalability of their processes. In this work, two new approaches have been combined in order to provide 25nm contact holes (CH) at 90nm pitch: the line/space (L/S) double exposure immersion lithography and the plasma-assisted shrink technology. In this paper, we will first present the line/space (L/S) imaging method with negative tone development (NTD) to create directly 45nm CH at 90nm pitch. Then, we will discuss plasma-assisted shrink technology and how it applies to these small contacts. Plasma-assisted shrink technology relies on running a fast cyclic process, where plasma polymers are deposited on the photoresist mask, then subsequently redistributed over the features sidewalls, allowing in final a diameter reduction of more than 50{\%}. The last part of this paper is devoted to the study of the scalability of advanced hard-mask-based dielectric etch, based on the above-mentioned technique. [Preview Abstract] |
|
KWP.00066: Optimization of STiGer process for silicon deep etching Thomas Tillocher, Vincent Girault, Julien Ladroue, Philippe Lefaucheux, Mohamed Boufnichel, Pierre Ranson, Remi Dussart The STiGer process consists of cycling passivation steps (SiF$_{4}$/O$_{2}$ plasmas) and etching steps to get vertical structures. The etching steps can be either isotropic (SF$_{6}$ plasmas) or anisotropic (SF$_{6}$/O$_{2}$ plasmas). Like the cryogenic process, it is required to cool the silicon substrate to temperatures as low as -100\r{ }C. This process combines advantages of both Bosch process and standard cryogenic process. Due to the cyclic passivation steps, the SiO$_{x}$F$_{y}$ film is stronger than in standard cryoetching. In addition, the STiGer process is clean (no pollution of the etched structures and the chamber) and the robustness is enhanced in comparison with standard cryoetching: the profiles are less sensitive to temperature variations. We will present our most recent performances with the STiGer process and we will discuss the possible origin of the defects observed on the profiles. Our objectives are to etch sub-micron trenches that will be further used for the realization of integrated capacitors. [Preview Abstract] |
|
KWP.00067: Damage diffusion model during alcohol-base plasma etching for magnetic materials Keizo Kinoshita, Katsumi Suemitsu, Norikazu Ohshima, Nobuyuki Ishiwata, Tadahiko Sugibayashi Methanol plasma etch has been expected to candidate for the next etch process of magnetic materials due to its high etch selectivity to tantalum. However, there exist process induced damage issues [1]. The progression of the damage with changing etch end point was analyzed in this paper. MTJ samples with NiFe/MgO/CoFeB structure were evaluated by hysteresis-loops taken by the MTJ resistance while changing external magnetic field. Resistivity of the MTJ showed fine distributions for every end point samples until CoFeB clear. Redeposited material onto sidewall of the MTJ pattern brought less electrical-short problem. Degradation of the NiFe layer was observed as the MR ratio reduction. Time evolution of effective damage depth from sidewall of the NiFe pattern was estimated from this MR ratio decrease based on the damage diffusion model. The effective damage depth well correlated to the oxygen penetration depth directly observed by TEM-EELS on the sample cross section. Part of this work was supported by JSPS through its FIRST Program (R{\&}D of Ultra-low Power Spintronics-based VLSIs, PI: Hideo Ohno).\\[4pt] [1] K. Kinoshita, et al.: to be published on Jpn. J. Appl. Phys., (2010). [Preview Abstract] |
|
KWP.00068: ABSTRACT HAS BEEN MOVED TO BT2.00007 |
|
KWP.00069: PLASMA DEPOSITION |
|
KWP.00070: Synthesis and Electrical Characterization of \textit{n}-Type Nanocrystalline Diamond Films by Microwave Plasma-Enhanced Chemical Vapor Deposition Kungen Teii, Tomohiro Ikeda Nanocrystalline diamond (nanodiamond) films are composed of three different carbon phases: the diamond phase in form of nano-sized grains, trans-polyacetylene segments, and amorphous carbon. They are typically formed under C$_{2}$-rich conditions by CVD in Ar-rich/CH$_{4}$ plasmas. $n$-type conductivity in nitrogen-incorporated nanodiamond films is attributed to the formation of electronic states associated with carbon and nitrogen in the grain boundary. However, the origin of the high $n$-type conductivity still remains unclear. The authors investigate structure and electrical properties of $n$-type nanodiamond films prepared from a microwave Ar-rich/N$_{2}$/CH$_{4}$ plasma. The authors also investigate the rectification properties of $p-n$ diodes using $n$-type nanodiamond films. The plasma was characterized by strong emission from C$_{2}$ radicals. The room-temperature resistivity of the films decreased exponentially by three orders of magnitude with deposition temperature and was saturated at $\sim $10$^{-2}\Omega$ cm. The electron concentration increased up to 10$^{20}$ cm$^{-3}$, while the mobility was between 1 and 10 cm$^{2}$V$^{-1}$s$^{-1}$. Arrhenius plots of the conductivity showed a transition from semiconducting to quasi-metallic conduction with deposition temperature. The amount and clustering of the sp$^{2}$ phase were found to affect strongly the electrical conduction properties. [Preview Abstract] |
|
KWP.00071: Relationship between Elastic Modulus and Hardness of TiN and ZrN Films Coated Using Cathodic Arc Deposition Ji Hoon Yang, Jae In Jeong, Seung Hyun Jang, Hye Sun Park TiN and ZrN thin films are deposited on substrates, which are silicon wafer and stainless steel sheet, by using cathodic arc. Ti and Zr target are diameter of 120 mm and purity of 99.95{\%}. The base pressure of chamber is $\sim $ 10$^{-6}$ torr, and the working pressure is $\sim $ 10$^{-3}$ torr. For nitrification of the metals, the mixture of argon and nitrogen gas is used as process gas. The films are investigated relationship between elastic modulus and hardness. The elastic modulus and hardness of the films are changed with variables of deposition process e.g. temperature and applied bias to substrate. The maximum hardness of TiN and ZrN, which are 30.14 GPa and 28.82 GPa, respectively, is achieved with applying bias of 100 V to substrates. The films coated without bias and at R.T. shows the minimum value of elastic modulus. The value of H/E of TiN and ZrN is 0.11 and 0.14, respectively. [Preview Abstract] |
|
KWP.00072: Preparation of self-adhesive n-TiC/a-C:H coatings with internal layered structure by hybrid PVD-PECVD process Petr Vasina, Pavel Soucek, Marek Elias, Vilma Bursikova, Abdelazir El Mel, Pierre-Yves Tessier Nanocrystalline titanium carbide embedded in an (hydrogenated) amorphous carbon matrix shows high hardness and Young's modulus combined together with low wear and low friction coefficient. However considerable deficiency of these coatings is usually reported to be insufficient adhesion to the substrates. In this paper, we report the deposition procedure which leads to deposition of the coatings several $\mu $m thick with simultaneously good mechanical properties and good adhesion to the silicon substrate despite that no usually recommended interlayer to promote the adhesion is used. Carefully choosen deposition conditions leads to a deposition of coatings with an internal layered structure consisting of two parts with different microstructure separated by a very sharp transition. We attributed the formation of reported layered structure to the gradual increase of the temperature of the substrate in a certain range given by our experimental conditions. [Preview Abstract] |
|
KWP.00073: Fabrication of Fe$_{3}$Si/FeSi$_{2}$ Multilayers by Facing Targets Direct-Current Sputtering And The Magnetic Properties Shin-ichi Hirakawa, Ken-ichiro Sakai, Kaoru Takeda, Tsuyoshi Yoshitake Fe-Si system has various phases such as semiconducting $\beta $-FeSi$_{2}$ and nanocrystalline FeSi$_{2}$, and ferromagnetic Fe$_{3}$Si. An Fe$_{3}$Si/FeSi$_{2}$ multilayer is a new candidate for a ferromagneto/semiconductor heterostructure in spintronics. In order to accumulate the same kind of materials in atomic scale and form a layered structure with sharp interlaces, the interdiffusion of atoms between the layers should be suppressed. Sputtering methods has been applied for a variety of film preparations. Among them, a facing target direct-current sputtering (FTDCS) method has a merit that a film receives less damage during the deposition since a substrate is placed away from plasma. In this study, we employed the FTDCS method and prepared Fe$_{3}$Si (25 {\AA})/FeSi$_{2}$ ($X$ {\AA}) multilayers, wherein the FeSi$_{2}$ layer thickness $X$ was changed between 5 and 20 {\AA}. Their structural and magnetic properties were investigated. The X-ray diffraction measurement indicated that the Fe$_{3}$Si layers are epitaxially grown not only on Si(111) but also up to the top layer across the FeSi$_{2}$ layers. From the magnetization curves measured at room temperature, it was found that the antiferromagnetic and ferromagnetic interlayer couplings are alternatively induced for a change in the FeSi$_{2}$ layer thickness $X$. [Preview Abstract] |
|
KWP.00074: n-Type $\beta $-FeSi$_{2}$/p-Type Si Hererojuncion Photodiodes Fabricated by Facing Targets Direct-Current Sputtering Shota Izumi, Nathaporn Promros, Mahmoud Shaban, Tsuyoshi Yoshitake Semiconducting iron disilicide ($\beta $-Fesi$_{2})$ has been received much attention, since this material has several attractive characteristics. Specifically, it is a new candidate material for near-infrared (NIR) photodetectors for optical fiber communication because its optical band gap is optimum for operating at wavelengths of 1.3 and 1.55 $\mu$m. In this study, $\beta $-FeSi$_{2}$ thin films were epitaxially grown on Si(111) substrates by facing targets direct-current sputtering (FTDCS) at a substrate-temperature of 600$^{\circ}$C without post-annealing at a high temperature. In the dark and under illumination at a wavelength of 1.31$\mu$m, the photodiode performance was measured in the temperature range from 50 to 300 K. At a low temperature, the performances were remarkably improved as compared with those at 300 K. The $R_{0}A$ product and detectivity at 50 K were estimated to be 2.0 $\times$ 10$^{8} \quad \Omega $ cm$^{2}$ and 2.8 $\times$ 10$^{11}$cm$\cdot$Hz$^{1/2}$/W, respectively. [Preview Abstract] |
|
KWP.00075: Formation of Ultrananocrystalline Diamond/Amorphous Carbon Composite Films in Vacuum by Using A Coaxial Arc Plasma Gun Tsuyoshi Yoshitake, Kenji Hanada, Tomohiro Yoshida, You Nakagawa, Ryota Ohtani, Kazushi Sumitani, Hiroyuki Setoyama, Eiichi Kobayashi We have previously reported that ultrananocrystalline diamond/hydrogenated amorphous carbon composite (UNCD/a-C:H) films are formed in a hydrogen atmosphere by pulsed laser deposition. The UNCD crystallite formation by PLD necessitates the hydrogen atmosphere. Recently we have succeeded in forming UNCD/a-C:H films by coaxial arc plasma deposition (CAPD). In CAPD, a supersaturated condition should be strongly realized as compared to that in PLD. Here, we report that UNCD/amorphous carbon composite (UNCD/a-C) films can be formed in vacuum by CAPD. Formation of UNCD crystallites was confirmed by X-ray diffraction. The crystallite size was estimated to be 1.6 nm using Scherrer's equation. This value is smaller than that (2.3 mm) of the UNCD/a-C:H film deposited in the hydrogen atmosphere. The sp$^{3}$/(sp$^{2}$ + sp$^{3})$ value estimated from the X-ray photoemission spectrum and the hardness measured by nanoindentation were nearly same as those of the UNCD/a-C:H film. [Preview Abstract] |
|
KWP.00076: Influences of Arc Discharge Repetition Rate on Growth of Ultrananocrystalline Diamond / Hydrogenated Amorphous Carbon Composite Films by a Coaxial Arc Plasma Gun Tomohiro Yoshida, Kenji Hanada, You Nakagawa, Ryota Ohtani, Kazushi Sumitani, Hiroyuki Setoyama, Eiichi Kobayashi, Yoshiayuki Agawa, Tsuyoshi Yoshitake Ultrananocrystalline diamond/hydrogenated amorphous carbon composite (UNCD/a-C:H) films comprising UNCD crystallites with diameters less than 10 nm and a a-C:H matrix have received a lot of attention because of their unique properties. The growth mechanism in this method must differ from that of CVD because of the completely different film preparation conditions. The growth mechanism deserves to be studied. In this study, UNCD/a-C:H films were prepared by using a coaxial arc plasma gun at different repetition rates of arc discharge. The influences of the repetition rate on the growth were structurally studied. With an increase in the repetition rate from 1 to 5 Hz, the UNCD crystallite size estimated from the X-ray diffraction peaks increased from 1.9 to 2.6 nm. The sp$^{3}$/(sp$^{2}$ + sp$^{3})$ value estimated from the X-ray photoemission spectra also increased, which might be attributed to the enlarged UNCD crystallites. While the deposition by arc discharge occurs in pulsed process, the growth of UNCD crystallites takes place not independently but continuously. [Preview Abstract] |
|
KWP.00077: Charge state distribution studies of metallic Electron Cyclotron Resonance (ECR) plasma Pravin Kumar, Denaker Kanjilal, Gilles Cartry 10 GHz all-permanent magnet ECR ion source placed on 200 kV high voltage platform is operation since 2000 at Inter University Accelerator Centre (IUAC), New Delhi India. The source is being used regularly for extracting various gaseous and metallic ion beams for research experiments mainly related to materials science. The source performance has been very good for delivering gaseous beams. However, metallic ion beams suffer from fluctuations and instabilities in the current measured at Faraday cup. Some methods of metallic ECR plasma generation and charge state distribution studies of plasma will be presented. [Preview Abstract] |
|
KWP.00078: Kinetic Model of Blistering Into Multi-Layer Metal Mirrors Anna Bondareva, Galina Zmievskaya Damaging of multi-layer metal mirrors, which serves for focuses a radiation from plasmas with aim of diagnostics is important for technician. Progress in extreme UV lithography depends on the quality of radiation collecting mirrors (made of textit{Mo/Si}). Computer simulation of defects (vacancy-gaseous pores, or blisters) distribution function depending on the sizes and arrangements in layers allows to calculate the position of the anti-diffuse layers into metal layers into mirror structure that increases the mirror reflection coefficient as well as to study the mechanisms of degradation due to blistering into\textit{ Mo} layer. The phenomena of high-temperature blistering is considered as the heterogeneous first-order phase transition at non-equilibrium stage. The blisters into lattice can be represented by model of phase clustering, which has been complemented by clusters Brownian motion. The clustering of new phase's germs (or nucleation) is represented by stochastic Wiener processes. Blisters are considered as Brownian particles which motion are induced by long-range potential of indirect (through acoustic phonon's and Friedel's oscillations of electron density) interaction between themselves is taken into account. The self-organization process results in formation of layered porous structures in metal layers or on the interface between metal and porous \textit{Si} layers. [Preview Abstract] |
|
KWP.00079: Optical Emission Spectroscopy of Nitrogen Gas in the High Frequency Single and Dual RF-PECVD Demiral Akbar High frequency single and dual RF capacitive coupled plasma enhanced chemical vapor depositions have been investigated using Optical Emission Spectroscopy (OES) of pure nitrogen gas. Two RF sources (40.68MHz on the top electrode, and 2.1 MHz on the bottom electrode) are coupled to each other through the plasma medium. It was found that the ionization density of the working gas in the dual RF-PECVD is higher than that obtained using HF single RF-PECVD discharge alone. [Preview Abstract] |
|
KWP.00080: Cutting Tools Nitriding in Plasma Produced by a Fast Neutral Molecule Beam Alexander Metel, Sergei Grigoriev, Yuriy Melnik, Vitaliy Panin Nitrogen plasma produced by a broad beam of fast neutral nitrogen molecules has been studied and used for cutting tools nitriding. The study results prove that fast molecules play a leading role in gas ionization in working vacuum chamber and current of ions produced by the molecules may be several times higher than the beam current. After 1-hour-long treatment microhardness of HSS cutting inserts rotating in reactive plasma and heated by 4-keV beam up to 500~$^{\circ}$C increased from 950HV to 1400HV and the mean radius of cutting edges decreased from $\sim $ 20 $\mu $m down to $\sim $ 17 $\mu $m. [Preview Abstract] |
|
KWP.00081: Surface Modification of Carbon Fiber with High Frequency Single and Dual RF Capacitive Coupled Plasma Demiral Akbar, Ummugul Gungur, Sinan Bilikmen In this study we used high frequency Single and Dual RF-PECVD discharge to modify the surface of the carbon fiber under different RF powers and exposure time using pure nitrogen gas. The surface characterization of the carbon fiber was carried out by means of Raman Spectroscopy. It was found that, in general, the intensity of the Raman spectra decreases as the RF power increases, but remain approximately constant with treatment time in single RF case. However, the intensity variations in dual RF plasma discharge are more complicated. In addition, it was found that the surface crystallization size increased when the time of the applied dual frequency (HF=40.68 MHz, LF=2.1 MHz) RF plasma discharge increased, which is indication of the higher ordering of the graphitic structures. [Preview Abstract] |
|
KWP.00082: Anisotropic ally Incident Effect of Sputtering Particles on the High Anisotropy Field of a CoFe Film in the Carrousel Sputtering Method R. Imaizumi, M. Munakata, M. Ohkoshi, K. Maki, Shin-ichi Aoqui Co-Fe magnetic thin film with a large in-plane uniaxial anisotropy field$ H_{k}$ of more than several Oe has attractive potentiality for the GHz frequency use. It is reason why its higher anisotropy can bring the higher ferromagnetic resonance (FMR) frequency, which is essential for giving the higher driving frequency in the device for GHz frequency use. We have already identified that carousel type sputter technique gave such a large in-plane uniaxial anisotropy field in the Co-Fe magnetic thin film, however, its atomic deposition of the film was unexplained yet. In this study, the atomic deposition of the film was analyzed by using Monte Carlo simulation with respect to sputtered particles. It was found that these sputtered particles gave approximate cause of the higher uniaxial-magnetic anisotropy of the films. [Preview Abstract] |
|
KWP.00083: ELECTRON AND PHOTON COLLISIONS WITH ATOMS AND MOLECULES: EXCITATION |
|
KWP.00084: A comprehensive study of electron collisions with heavy noble gases M. Allan, K. Franz, J. B\"ommels, T.H. Hoffmann, M.-W. Ruf, H. Hotop, O. Zatsarinny, K. Bartschat Over the past few years, our group has extensively studied elastic and inelastic electron scattering from the heavy noble gases Ne, Ar, Kr, and Xe. High-resolution experimental data, obtained in Fribourg and Kaiserslautern (see, e.g., [1-4] and references therein) were compared with theoretical predictions from semi-relativistic and fully relativistic $B$-spline $R$-matrix (close-coupling) calculations (see [5] and references therein). In most cases the agreement between experiment and theory is excellent, thus providing confidence in suggesting extensive datasets for state-to-state transitions from these calculations for use in the modelling of discharges involving heavy noble gases.\\[4pt] [1] J. B\"ommels {\it et al.}, Phys.~Rev.~A~{\bf 71} (2005), 012704.\\[0pt] [2] M.~Allan, O.~Zatsarinny and K.~Bartschat, Phys.~Rev.~A~{\bf 74} (2006), 030701(R).\\[0pt] [3] M.~Allan {\it et al.}, J.~Phys. B~{\bf 42} (2009), 044009.\\[0pt] [4] T.H.~Hoffmann {\it et al.}, J.~Phys.~B~{\bf 43} (2010) 085206.\\[0pt] [5] O.~Zatsarinny and K.~Bartschat, J.~Phys. B~{\bf 43} (2010), 074031. [Preview Abstract] |
|
KWP.00085: Polarization of the Lyman-alpha x-ray line emitted by highly charged hydrogen-like ions excited by electron impact Dmitry Fursa, Chris Bostock, Igor Bray The polarization of x-ray lines emitted by highly charged hydrogen-like ions excited by electron impact plays an important role in plasma diagnostics. A recent review by Beieresrofer [1] highlighted that there are systematic discrepancies between theory and experiment for the polarization of such lines. Using the relativistic convergent close-coupling method we have calculated the polarization of the Lyman-alpha x-ray line emitted by hydrogen-like Ti (21+) , Ar (17+) and Fe (25+) ions excited by electron impact. We find [2] that account of Breit relativistic corrections is important to resolve the discrepancy between experiment and theoretical calculations.\\[4pt] [1] P. Beiersdorfer, Physica Scripta T134 (2009) 014010\\[0pt] [2] C. Bostock, D. Fursa, I. Bray, Phys Rev A 80 (2009) 052708 [Preview Abstract] |
|
KWP.00086: Electron-Krypton excitation cross sections of interest in plasma modelling Rajesh Srivastava, Reetesh Gangwar, Lalita Sharma, Allan Stauffer Relativistic distorted wave (RDW) calculations are reported for electron excitation of krypton in the intermediate energy range. The excited states include all the 4d, 5s, 5p and 6s fine-structure levels. We produce both differential and integrated cross sections and compare with existing experimental results. As an aid to plasma modelling we have fitted our integrated cross sections to an analytic formula. This enables us to analyse our results in terms of the total angular momentum J of the excited levels. [Preview Abstract] |
|
KWP.00087: Electron impact excitation of singly-charged metal atoms Lalita Sharma, Andrey Surzhykov, Rajesh Srivastava Electron impact cross section data are needed for the understanding of energy balance in astrophysical objects, magnetically confined thermonuclear reactors and various laser plasmas. In this contribution, we report a systematic study for the electron impact excitation of singly-charged metal atoms using fully relativistic distorted wave (RDW) theory. We calculate both differential and integrated cross sections as well as polarization parameters for optical transitions. These results are obtained for Mg II, Ca II, Zn II, Cd II and Ba II ions and compared with existing experimental and theoretical results. [Preview Abstract] |
|
KWP.00088: Electron collisions with CO: Elastic and vibrational excitation cross sections Michael Allan The present work [1] was motivated by the data need for simulations of the upper atmospheres of Venus and Mars and cometary comae [2]. Absolute differential elastic and vibrational excitation cross sections up to $v=11$ were measured. Integral cross sections were derived by integrating under the angular distributions. The sum of the elastic and inelastic integral cross sections agrees very well with the available transmission measurements of the grand total cross section, thus validating the present measurements. The present elastic differential and integral cross sections are in excellent agreement with the best available measurement [3], but the $v=1$ inelastic cross section is about 25\% higher. This could have consequences for simulations of cometary and planetary atmospheres. \\[4pt] [1] M.~Allan, Phys. Rev. A \textbf{81}, 042706 (2010).\\[0pt] [2] L.~Campbell and M.~J.~Brunger, Geophys. Res. Lett. \textbf {36}, L03101 (2009).\\[0pt] [3] J.~C.~Gibson, L.~A. Morgan, R.~J. Gulley, M.~J. Brunger, C.~T. Bundschu and S.~J.Buckman, J. Phys. B \textbf{29}, 3197 (1996). [Preview Abstract] |
|
KWP.00089: Development of a new set-up for total cross section measurement in the cold electron collision Manabu Kurokawa, Masashi Kitajima, Takeshi Odagiri, Hidetoshi Kato, Masamitsu Hoshino, Hiroshi Tanaka, Kenji Ito Collisions of electrons with atoms or molecules at very low- energy, so called ``cold electron collision,'' show unique quantum effects due to the very long de Broglie wavelength of an electron with thermal kinetic energy which becomes much longer than the typical size of the target particles. We present a new experimental set-up utilizing threshold photoelectrons produced from the photoionization at the threshold of rare gas atom as an electron source for the cold electron collision experiment. Since the kinetic energies of the threshold electrons are almost zero, the electron beam of very small emittance can be obtained. Therefore, a low-energy electron beam is achievable. We also present the total cross sections of electron scattering from Ar, Kr and Xe measured with the present set-up over the energy range 14 meV to 20 eV. In the present measurements, the resonant structures due to the Feshbach resonances of Ar, Kr and Xe were clearly observed on each of the total cross section curves. [Preview Abstract] |
|
KWP.00090: Differential and Integral cross sections for electronic-state excitation of O$_{2}$ by electron impact Daisuke Suzuki, Mizuha Ohkawa, Hidetoshi Kato, Masamitsu Hoshino, Michael Brunger, Hiroshi Tanaka We report absolute differential cross sections (DCSs) and integral cross sections (ICSs) for electronic excitation of the B$^{3}\Sigma _{u}^{-}$ state, also called the Schumann-Runge continuum (SR), and the E$^{3}\Sigma _{u}^{-}(v$= 0,1) state of O$_{2}$ by electron impact. The present measurements were conducted with a crossed-beam apparatus for impact energies in the range 20-200eV, with the energy loss spectra and therefore the DCSs being placed on an absolute scale using the relative flow technique. ICSs are subsequently derived from these DCSs using a GOS technique. In addition BE$f$-scaled ICSs of these dipole-allowed electron impact transitions, using the Plane Wave Born (PWB) approximation, are also reported. While previous studies of these excitation processes do exist in the literature, they are not in very good agreement with one another and so the available cross sections cannot be considered to have been bench marked. The present data seek to rectify that situation. [Preview Abstract] |
|
KWP.00091: Elastic Differential Cross Sections for C$_{4}$F$_{6}$ by Low Energy Electron Impact Kazutoshi Anzai, Daisuke Suzuki, Mizuha Ohkawa, Kazuaki Nagumo, Hidetoshi Kato, Masamitsu Hoshino, Daisuke Mogi, Takashi Tanioka, Paulo Limao-Vieira, Hiroshi Tanaka We report on the first measurements of elastic differential cross sections (DCSs) in C$_{4}$F$_{6}$ molecules by low energy electron impact. C$_{4}$F$_{6}$ has three isomers, hexafluoro-1,3-butadiene (1,3-C$_{4}$F$_{6})$, hexafluoro-2-butyne (2-C$_{4}$F$_{6})$ and hexafluorocyclobutene (c-C$_{4}$F$_{6})$. 1,3-C$_{4}$F$_{6}$ has been suggested as a potential plasma processing molecule to be used as a replacement to the traditional reactive etching gases , having a negligible global warming potential. The experimental set-up for DCSs consists of a crossed-beam method in conjunction with the relative flow technique . These measurements were performed at incident electron energies from 3--100 eV and scattering angles of 20--130 degrees, with an energy resolution of $\sim $50 meV at FWHM. The elastic DCSs for two isomers 1,3-C$_{4}$F$_{6}$ and 2-C$_{4}$F$_{6}$ at low incident electron energy, shows some considerable differences. These will be presented in more detail at the conference. [Preview Abstract] |
|
KWP.00092: Elastic Differential Cross Sections for XF$_{4}$ (X = C, Si, Ge) by Intermediate Energy Electron Impact Masaki Horie, Takuya Mitsumura, Hidetoshi Kato, Masamitsu Hoshino, Gustavo Garcia, Paulo Limao-Vieira, Hiroshi Tanaka We reported on the absolute differential cross sections (DCSs) for elastic electron scattering with XF$_{4}$ (X = C, Si, Ge) molecules of tetrahedral symmetry in the energy range of 50-200eV. Electron scattering cross section data for the molecules is relevant to model the electronic state spectroscopy of these molecules in the chemistry of low temperature plasmas manufacturing of semiconductors and other industrial processes. The crossed-beam method was used in conjunction with the relative flow technique to obtain absolute values for the DCS. In these measurements the experimental DCS are compared with results from theoretical calculations based on the independent atom model (IAM) approximation, performed without any empirical parameter-fittings, i.e., in an \textit{ab initio} nature by employing a corrected form of the IAM, known as the Screening Corrected Additivity Rule. The calculated values are in excellent agreement with the experimental results. Detailed discussion on these results will be presented at the conference. [Preview Abstract] |
|
KWP.00093: Differential cross sections for electron scattering from vibrationally excited linear triatomic molecules Mizuha Ohkawa, Daisuke Suzuki, Hidetoshi Kato, Kazuaki Nagumo, Masamitsu Hoshino, Michael Brunger, Hiroshi Tanaka The study of electron scattering from atoms and molecules is significant for our understanding of many physical phenomena, for instance in plasma processes, astrophysics and so on. Therefore many studies on electron scattering processes have been conducted. However these studies are typically limited to elastic scattering and excitation from the ground state. In this study, we have thus measured excitation functions and angular distributions for superelastic and elastic scattering from the bending mode of vibrationally excited N$_{2}$O and CO$_{2}$. The experimental apparatus consists of a typical crossed-beam method in conjunction with the relative flow technique for normalization to an absolute scale. The gas of interest is heated to 800 K by resistive heating of the beam-forming nozzle. The electron impact energy range for both targets was 1 $-$ 9 eV, while the scattering angle range was 30$^{\circ} - 130^{\circ}$. Resonance phenomena are clearly observed in our excitation function measurements, with a full summary of all our results being given at the meeting. [Preview Abstract] |
|
KWP.00094: Electron collisions with Ar and N$_2$ J.A. Young, P.V. Johnson, C.P. Malone, I. Kanik, B. Ajdari, R. Al Buraidi, S. Khakoo, M.A. Khakoo Understanding electron collisions with common species such as Ar and N$_2$ is important for diagnosing plasma processes both in the laboratory setting and in the upper atmosphere. Vacuum ultraviolet emissions, such as the Lyman-Birge-Hopfield band of N$_2$, provide important observables, which can be combined with other indicators to infer parameters such as temperature, neutral density, mean electron energy, and electron flux. In this paper, we present a number of revised electron impact excitation cross sections for Ar and N$_2$ based on recent measurements. Comparisons with previous studies and general implications will be discussed. [Preview Abstract] |
|
KWP.00095: Observation in molecular hydrogen of inelastic collisions between a high Rydberg electron and the rotating ion core Thomas Morgan, John David Wright Semi-classically, Rydberg electrons in low angular momentum states pass near the ion core during their trajectory, which results in the exchange of both energy and angular momentum. We have observed inelastic collisions that change both the ion core rotational quantum number and the electron principal quantum number. The observations are performed using fast molecular beam - laser scaled energy spectroscopy. The Rydberg state is measured using field ionization. The experimental spectrum is Fourier transformed to interrogate the Rydberg electron - ion core collision dynamics. This recurrence spectrum reveals peaks that result from rotationally inelastic collisions between the electron and the molecular ion core. The energy dependence of the collision has been studied below the saddle classical ionization threshold. The data are compared with united atom equivalent helium and show interesting interference effects not found in helium. Also, it is found that closed classical orbits exist in the molecule but exhibit quite different intensity and energy distributions compared to helium. [Preview Abstract] |
|
KWP.00096: Direct frequency comb spectroscopy of atomic and molecular systems using an oscillator having randomly varying repetition frequency (f$_{rep})$ and offset frequency (f$_{0})$ Bachana Lomsadze, Hyounguk Jang, Charles Fehrenbach, Brett DePaola In recent years, interest in frequency combs has greatly increased for a variety of reasons. Using a frequency comb one can do high precision measurements on a system to determine standards of fundamental quantities: time, frequency, and length. Combs are widely used for precision spectroscopy of quantum systems as well. In the above-mentioned experiments, two characteristic parameters of the frequency comb: f$_{rep}$ and f$_{0}$ must be intricately controlled with very high precision. Furthermore, most oscillators do not have the necessary controls, nor are the controls trivial to retro-fit to an existing oscillator. For these reasons, we propose doing high precision spectroscopy without the control over f$_{rep}$ or f$_{0}$; rather, these frequencies are allowed to naturally evolve during an experiment. The essential idea behind this new technique is that we measure, but do not control, these frequencies every time any event, for example an excitation, occurs in our atomic or molecular system. Random change of f$_{rep}$ and f$_{0}$ gives us the capability to study all transition frequencies in the system of interest, just as a controlled scan of these frequencies would allow us to do. [Preview Abstract] |
|
KWP.00097: Stimulated emission following excitation of the Xe 6p' and Xe 7p states Vadim Alekseev, Peter van der Burgt, John McCaffrey, Donald Setser Stimulated emission (SE) in Xe gas induced by two-photon excitation of np and nf resonances has been studied earlier [1-3]. Excitation of the high-lying 6p' and 7p states results in SE on 6p $\to$ 6s transitions, which implies the cascading radiative effect in the IR region involving the 7s or 5d states as intermediate states. In this contribution we report on studies of the SE effect in the 1-5 $\mu $m region following excitation of the Xe 6p'[1/2]$_{0}$, 6p' [3/2]$_{2}$, 7p[1/2]$_{0}$, 7p[3/2]$_{2}$ and 7p[5/2]$_{2}$ states. We found that for both 6p' states the SE spectrum displays intense radiative cascade via 5d[1/2]$_{1}$ and 6p[1/2]$_{1}$ states, 6p' $\to $ 5d[1/2]$_{1} \to $ 6p[1/2]$_{1} \to $ 6s[3/2]$_{2}$, while cascading via the 7s states is less efficient and may be observed only at optimized Xe pressure and laser power. In contrast, excitation of the 7p states results in cascading SE via the 7s states and transitions to the 5d states are not seen under conditions of our experiment. [1] J.C. Miller, Phys.Rev.A 40(1989)6969 [2] V.A. Alekseev, D.W. Setser, J.Chem.Phys. 105(1996) 4613 [3] V.A. Alekseev, D.W. Setser, J.Phys.Chem. A 103(1999)8396 [Preview Abstract] |
|
KWP.00098: Electron collisions with $\alpha$-D-glucose and $\beta$-D-glucose monomers Romarly da Costa, M\'arcio Bettega, M\'arcio Varella, Marco Lima The development of new alternative routes for production of second generation ethanol from sugarcane biomass poses a challenge to the scientific community. Current research in this field addresses the use of a plasma-based pretreatment of the lignocellulosic raw material. With the aim to provide a theoretical background for this experimental technique we investigate the role of low-energy electrons from the plasma in the rupture of the matrix of cellulosic chains. In this paper we report calculated cross sections for elastic scattering of low-energy electrons by the $\alpha$- and $\beta$-D-glucose monomers. The calculations employed the Schwinger multichannel method with pseudopotentials and were carried out at the static-exchange and static-exchange plus polarization levels of approximation. Resonant structures appearing at different energies for $\alpha$-and $\beta$-glucose at the low-energy regime of impact energies can be understood as a fingerprint of an ``isomeric effect'' and suggest that distinct fragmentation mechanisms proceeding {\it via} $\sigma^{*}$ shape resonances may become operative depending on the glucose anomer under consideration. [Preview Abstract] |
|
KWP.00099: Hypersonic Wake Diagnostics using Iodine Laser-Induced Fluorescence J.L. Mills, C.I. Sukenik, R. Jeffrey Balla Measurements in the wake region created by models in supersonic and hypersonic flows are required in order to understand a variety of problems in aerodynamics. These measurements need to be nonintrusive to fully understand the nature of the flow. One nonintrusive method being investigated is the use of visible Laser Induced Fluorescence (LIF) of I$_{2}$. The visible band region of I$_{2}$ extends from around 500 - 700 nm, excitation in the visible region is from the ground X state to the excited B state. One particular process of interest for excitation in this region is direct and spontaneous predissociative decay. We are investigating the possibility of using both molecular and atomic Iodine for a range of diagnostics including flow velocimetry. Work supported by NASA Langley Research Center. [Preview Abstract] |
|
KWP.00100: Electron-impact collisions with gas-phase thiophene molecules Romarly da Costa, M\'arcio Bettega, Marco Lima In this work we report elastic and electronically inelastic cross sections for low-energy electron collisions with thiophene molecules. The scattering amplitudes are obtained using the Schwinger multichannel method implemented with pseudopotentials for energies ranging from 0 to 30 eV. Elastic calculations are performed at the static-exchange and static-exchange plus polarization levels of approximation. Electronic transition from ground state to the 1 $^{3}B_{2}$ excited state of thiophene is obtained within a five-channel close-coupling model. The importance of competition among the energetically accessible channels is analyzed by comparing the results obtained at different levels of multichannel close-coupling and the influence of the polarization of the target on the elastic and electronic excitation processes is also investigated through the comparison of calculations performed with and without inclusion of this effect. Preliminary results for integral and momentum transfer elastic cross sections revealed the presence of two shape resonances at around 1 eV and 2.8 eV which are ascribed to the $B_{1}$ and $A_{2}$ symmetries of the C$_{2v}$ point group, respectively. These results are in very good agreement with available experimental measurements for vertical attachment energies [J. Phys. Chem. A \textbf{108}, 5721 (2004)]. [Preview Abstract] |
|
KWP.00101: The LXCat project S. Pancheshnyi, M. Okhrimovskaya, S. Chowdury, G. Hagelaar, L.C. Pitchford, A.V. Phelps The goal of the LXCat (or ELECtron SCATtering) project is the establishment of a set of web-based tools and open access databases relevant to modeling low temperature plasmas and plasma chemistry. We have focused over the past year on developing web-based tools for access, display, and processing of data concerning the electrons in typical low temperature plasma conditions. From the web site, users can access compilations of complete sets of electron scattering data for various gases developed by different. New contributors are welcome and can get password access to the server and then use the on-line tools to upload data and plot/compare data. An on-line version of the two-term Boltzmann solver, BOLSIG+, was developed so that electron transport and rate coefficients in pure gases and gas mixtures can be calculated using available cross section data as input. Results are displayed in graphical form or in a text file that can be downloaded from the LXCat site. We are hoping to make this part of a larger, community-wide project on data for modeling low-temperature plasmas. [Preview Abstract] |
|
KWP.00102: Two-body Coulomb problems with sources Lorenzo Ugo Ancarani, Gustavo Gasaneo Within the time-independent approach, collisional processes can be associated to an initial condition corresponding to the solution of a simplified Hamiltonian where some of the interactions of the full Hamiltonian are neglected. The wave function satisfying the full Schrodinger equation can then be written as the sum of the solution of the simplified problem and the scattering solution. This separation leads straightforwardly to a non-homogeneous equation where the source is the product of the neglected interactions and the asymptotic solution. We deal here with non-homogeneous two-body Schrodinger equations containing a Coulomb interaction, with different types of non-homogeneities. This is an important problem which has been considered in connection with, e.g., the J-matrix approach [1]. In some cases, closed form solutions can be found and expressed in terms of a generalized hypergeometric function in two variables [2]. A detailed analysis allows us to investigate solutions having incoming, outgoing and standing wave asymptotic conditions.\\[4pt] [1] H. A. Yamani and W. P. Reinhardt, Phys. Rev. A 11, 1144 (1975).\\[0pt] [2] L. U. Ancarani and G. Gasaneo, J. Math. Phys. 49, 063508 (2008). [Preview Abstract] |
|
KWP.00103: Spectroscopic studies of the 1$^{st}$ and 2$^{nd}$ continuum emission bands ($\lambda $=74-90nm) from decaying Ne$_{2}$* excimers generated in high pressure dielectric barrier discharge plasmas Robert Carman, Deborah Kane For gas pressures above $>$100mbar, the radiative decay of Ne$_{2}$* excimer molecules gives rise to strong emission in the vacuum-ultraviolet corresponding to the partially overlapping 1$^{st}$ and 2$^{nd}$ continuum excimer bands $\lambda \sim $74-90nm [1]. We have evaluated the $\lambda $-dependence of the spectral emission from $\sim $700 individual ro-vibrational states of the Ne$_{2}^{\ast }$ 0$_{u}^{+}(^{3}$P$_{1})$ and Ne$_{2}^{\ast }$ 1$_{u}(^{3}$P$_{2})$ excited bound states. Energy levels and corresponding wavefunctions were calculated from the code LEVEL 8.0 of LeRoy [2], based on known potential energy curves [3]. Comparison between the calculated spectra and experimental data allows the individual contributions from the overlapping 1$^{st}$ and 2$^{nd}$ bands to be clearly resolved. Detailed analysis of the 1$^{st}$ continuum output gives insight into the magnitude of the buffer gas induced relaxation rates involving the twelve vibrational states of Ne$_{2}$* 0$_{u}^{+}(^{3}$P$_{1})$. Our results also suggest the potential energy curves for 0$_{u}^{+}(^{3}$P$_{1})$/1$_{u}(^{3}$P$_{2})$ in [3] should be revised with D$_{e}$ values reduced by $\sim $25{\%}. [1] R Carman et-al J Phys D \textbf{43} 025205 (2010), [2] R J Le Roy, University of Waterloo Chemical Physics Research Report CP-663 (2007); see http://leroy.uwaterloo.ca/programs/ [3] F Grein et-al, J Chem Phys \textbf{87} 4684 (1987) [Preview Abstract] |
|
KWP.00104: ELECTRON AND POSITRON COLLISIONS WITH ATOMS AND MOLECULES: IONIZATION |
|
KWP.00105: An analytically solvable model to test the hyperspherical Sturmian approach for break up processes Gustavo Gasaneo, Dario Mitnik, Lorenzo Ugo Ancarani, Flavio Colavecchia, Ana Laura Frapiccini, Juan Martin Randazzo A spectral method to study the dynamics of a three-body collision break up process is presented. By expressing the wave function of the system as a sum of a scattered wave function and a known initial state, a non-homogeneous Schrodinger equation is derived. The scattering term is expanded with a basis of Sturmian functions. Two types of basis sets are used: (i) product of functions of the interparticle coordinates and (ii) product of hyperspherical Sturmians functions. Both basis sets explicitly include continuum asymptotic boundary conditions, and are the eigenvectors of a two-body problem where the magnitude of a potential is taken as the eigenvalue. The efficiency of the method is tested by applying the Sturmian approach to the study of simple fragmentation problems. We have constructed a solvable problem in hyperspherical coordinates, for which analytic expressions for the wave function as well as the transition amplitude are derived. We show that our numerical approach converges and is in excellent agreement with analytical results. [Preview Abstract] |
|
KWP.00106: Electron impact ionization in the Temkin Poet model with Sturmian functions Juan Martin Randazzo, Ana Laura Frapiccini, Gustavo Gasaneo, Dario Mitnik, Lorenzo Ugo Ancarani, Flavio Colavecchia We present accurate results for the electron impact ionization of atomic hydrogen, within the Temkin Poet model, using Generalized Sturmian functions (GSF). The GSF are solutions of a two-body radial Schrodinger equation where the energy is fixed, and the magnitude of an interaction is considered as eigenvalue [1]. These functions are able to include almost any physically sound asymptotic conditions in the radial electron coordinates. In the present case, we set outgoing flux conditions with Coulomb phase. The GSF are used in a Configuration Interaction scheme to expand the scattering wave of the process. We present several benchmark tests, and compute single differential cross sections in terms of the energies of the ejected electron. Our results for 54.4 eV incident energy exhibit excellent agreement with those obtained within the External Complex Scaling [2] and Finite Difference Method [3]. [1] A. L. Frapiccini et al., $^{ }$J. Phys. B, 43 101001 (2010). [2] C. W. McCurdy and T. N. Rescigno, Phys. Rev. A 56, R4369 (1997). [3] S. Jones and A. T. Stelbovics, Phys. Rev. A 66, 032717 (2002). [Preview Abstract] |
|
KWP.00107: Electron Impact Single Ionization of Small Argon Clusters Thomas Pflueger, Arne Senftleben, Xueguang Ren, Alexander Dorn, Joachim Ullrich Atomic and molecular clusters present an excellent field of investigation bridging the gap between sole constituents and macroscopic matter. Therefore, structural as well as dynamical information can contribute to the understanding of more complex systems. We performed kinematically complete electron impact ionization experiments at 100 eV projectile energy were all final state particles were measured and differential cross sections could be obtained over almost the complete solid angle. The dimers show subtle differences compared to monomers in coplanar geometry which are enhanced for out-of-plane detection. Partly, those differences can be attributed to enhanced rescattering of ejected electrons off the core potential. Interestingly, binding energy spectra showed additional reaction channels for monomers and larger clusters but, distinctively not for dimers. These highly excited states in the dimer ion apparently decay by two main mechanisms: below 35 eV the system couples to a dissociating potential producing a monomer ion and an excited neutral, above the ion dissociates because of interatomic coulomb decay (ICD). Besides direct single ionization in larger clusters additional inelastic scattering event inside the cluster exciting a neutral from 3p to 4s was observed. [Preview Abstract] |
|
KWP.00108: Energy dependent differential cross sections for C$_{60}$ by electron collision Satyendra Pal, Anshu A The study of C$_{60}$ has attracted an enormous amount of interest in recent years, both from the experimental and the theoretical viewpoints because of its characteristic electronic structure reflecting its unique geometry. The exceptional stability, very high symmetry and several other molecular properties may provide the basis for important applications of this novel and natural state of carbon. Recently, we have extended and generalized the modified JK semiempirical formalism for the evaluation of the partial ionization cross sections corresponding to the formation of the cations in the electron impact ionization of molecules to the electron impact ionization of C$_{60}$ [1]. In the present work, we have evaluated the secondary electron energy dependent differential ionization cross sections corresponding to the production of singly, doubly and triply charged cations in the electron impact ionization of C$_{60}$ using modified semiempirical formalism. The methodology and inputs are the same as described in the evaluation of partial integral ionization cross sections. \textbf{References} [1] N.Kumar, S.Pal, J. Phys. (conf. ser.) \textbf{163} (2009) 12029 {\&}12030. [Preview Abstract] |
|
KWP.00109: Dissociative ionization cross sections for methyl halides by electron impact Rajeev Kumar, Satyendra Pal The revisited JK semiempirical formulation that requires the oscillator strength data as input has been employed to evaluate the partial and total ionization cross sections corresponding to the formation of various singly and doubly charged cations in electron dissociative ionization of methyl halides CH$_{3}$X(X= F, Cl, Br) in the incident energy range varying from the ionization threshold up to 1000 eV. The partial and the total cross sections are compared with the previously reported experimental and theoretical results leading to a reasonably good agreement. The ionization rate coefficients are calculated using the calculated partial and total ionization cross sections and Maxwell-Boltzmann energy distribution. [Preview Abstract] |
|
KWP.00110: Positron Interactions with Atoms and Molecules J. Sullivan, C. Makochekanwa, A. Jones, J. Machacek, P. Caradonna, W. Tattersall, S. Buckman We present a range of new experimental results for low energy positron interactions with a number of different atomic and molecular systems. These experimental studies involve the rare gases He-Xe and H$_{2}$O and include measurements of total scattering, total elastic scattering, electronic excitation, ionization and positronium formation. In addition to absolute cross section measurements for these processes we have investigated several interesting features that emerge in the cross sections and which appear to be Wigner cusps which arise as a result of strong channel coupling. The experimental techniques that are used will be discussed, along with the measured cross sections. [Preview Abstract] |
|
KWP.00111: Recoil-Ion Momentum Distribution in Positron-Impact Ionization Collisions R.O. Barrachina, A. Delesque, R. Tricard, F.O. Navarrete, R. Della Picca, J. Fiol, V.D. Rodriguez We employ a Classical Trajectory Monte Carlo simulation and a Continuum Distorted Wave - Eikonal Initial State model to study the distribution of the recoil ion momentum (RIM) in the single ionization of H2 molecules by positron impact. We observe that this distribution reaches a finite value at the kinematical threshold, an effect that can be related to the familiar ``electron capture the continuum'' peak of electron momentum spectroscopy. We also analyze a second threshold of the distribution which--up to our knowledge--has never been reported in the literature. We propose that this new effect represents a fingerprint of a strong orientation of low-energy electrons into the direction of motion of the electron-positron centre-of-mass. [Preview Abstract] |
|
KWP.00112: Multiple-Scattering Effects in Single Ionization Processes R.O. Barrachina, E. Altszyler We investigate the multiple-scattering effects that occur in the single ionization of an aggregate of atoms. The interference of waves that have been scattered one or more times by the atoms can produce distortions of the momentum distribution that are not taken into account by standard zero-order scattering approximations. We calculate these effects to all orders for the case of a diatomic molecule, and show that they cannot be neglected in any accurate evaluation of the momentum distribution of the emitted electrons. [Preview Abstract] |
|
KWP.00113: Comparison of ion chemistries in cyclohexane, methylcyclohexane and ethylcyclohexane Charles Jiao, Alan Garscadden, Steven Adams Cycloalkanes are significant components of many complex fuels [1,2]. Methylcyclohexane was chosen as the representative cycloalkane in surrogate mixtures for practical fuels [3]. In this study we investigated the electron impact ionization of methylcyclohexane and two other cyclohexanes, cyclohexane and ethylcyclohexane, as functions of the electron energy in the range of 10 to 200 eV. Comparison of the ionization cross sections of these three compounds as well as their fragmentation patterns has been made. The reactions of the fragment ions with their parent molecules, respectively, were also studied and include mainly charge transfer, hydride transfer and H$_{2}^{-}$ transfer. The trends in the reactivities and types of the reactions will be discussed. \\[4pt] [1] A. Violi, S. Yan, E.G. Eddings, A.F. Sarofim, S. Granata, T. Faravelli, E. Ranzi, \textit{Combust. Sci. Technol.} \textbf{174 }(2002) 399.\\[0pt] [2] J. Yu, S. Eser, \textit{Ind. Eng. Chem. Res.} \textbf{34} (1995) 404.\\[0pt] [3] S. Humer, A. Frassoldati, S. Granata, T. Faravelli, E. Ranzi, R. Seiser, K. Seshadri, \textit{Proc. Combust. Inst. }\textbf{31 }(2007) 393. [Preview Abstract] |
|
KWP.00114: HEAVY PARTICLE COLLISIONS |
|
KWP.00115: Reactive collisions of H$^+$ with D$_2$ described by statistical model based on mean isotropic potential Tasko Grozdanov, Ronald McCarroll At low collision energies, the reaction of H$^+$ with D$_2$ leading to the formation of HD takes place via the formation of a collision complex. In this contribution, this process is analyzed for a range of collision energies form threshold up to 1.3 eV using a statistical theory based on a mean isotropic potential deduced from a full potential energy surface. The only input consists of the capture probabilities from various channels and they can be calculated by using a simple classical over-barrier capture model. Calculated integral cross sections, opacity functions and rotational distributions of the HD products are compared with recent statistical and quantum mechanical calculations performed using a full potential energy surface. Reasonable agreement between the results obtained using the two statistical methods is found, both of which however, overestimate the quantum mechanical predictions. The effects due to the presence of identical particles are also discussed. [Preview Abstract] |
|
KWP.00116: Electron detachment from O$_{2}^{-}$ ions in oxygen under strongly non-equilibrium conditions Nickolay Aleksandrov, Eugene Anokhin Electron detachment from O$_{2}^{-}$ ions have been theoretically studied on the assumption that the process proceeds via the formation of vibrationally excited temporary O$_{2}^{-}$ ions. The detachment rate was determined on the basis of the statistical approach for the vibrational transfer in collisions between O$_{2}^{-}$ and O$_{2}$. To validate the statistical approach used, we calculated attachment and detachment rates under equilibrium conditions under which measurements are available. This method was extended to calculate detachment rates in vibrationally excited oxygen. The calculated rates were used to simulate the formation and decay of an electron-beam-generated plasma in N$_{2}$:O$_{2}$ mixtures at elevated vibrational temperatures. The effect of high electric fields on electron detachment in unexcited oxygen was also studied. [Preview Abstract] |
|
KWP.00117: The Influence of the Spin Exchange and the Triple Nitrogen Atoms Recombination on the Magnetic Resonance Signal of Cesium Atoms in the N$_{2}$--Ar Afterglow Victor Kartoshkin, Sergey Dmitriev, Nicolay Dovator The investigation of spin-exchange collisions between optically oriented cesium atoms in the ground $^{2}$S$_{1/2}$ state and nitrogen atoms in the ground $^{4}$S$_{3/2}$ state reveals an anomalous behavior of the magnetic resonance signal of cesium atoms in the afterglow in an N$_{2}$-Ar mixture. It is found that such a behavior of the magnetic resonance signal is explained by a slow change in the concentration of nitrogen atoms (due to the recombination of these atoms in the triple collisions) in the absorption cell, which affects the magnetic resonance of cesium atoms via efficient spin exchange. [Preview Abstract] |
|
KWP.00118: Charge-transfer cross section in the Li-Li+ system Bouchelaghem Fouzia, Bouledroua Moncef This work deals theoretically with the charge exchange cross section computed for the system Li-Li$^{+}$. Assuming a very low electric field, the calculations are performed quantum mechanically within the Chapman-Enskog model (L.E. Reichel, \emph{A Modern Course in Statistical Physics} University of Texas Press, Austin, 1984). The calculations start by constructing the ion-atom potentials and, with this system, the collisional dynamics are determined by the molecular $^{2}\Sigma _{g}^{+}$ and $^{2}\Sigma _{u}^{+}$ states (J.N. Bradsley \emph{et al.} Phys. Rev. A \textbf{11,} 1911 (1975)). The gerade and ungerade potential-energy curves are shown in Fig. 1. The potentials are further used to solve the radial wave equation and therefore to determine the phase shifts. These phase shifts have been used to compute the elastic and charge-transfer cross sections. For illustration, the charge-transfer cross section for the scattering at low energies in the $^{2}\Sigma _{g}^{+}$ and $^{2}\Sigma _{u}^{+}$ states are presented in Fig. 2. [Preview Abstract] |
|
KWP.00119: Effects of electron correlation and second-order terms in transfer-excitation process Alexander Godunov, Ana Samolov The effects of electron correlation and second-order terms on theoretical cross sections of transfer excitation in collisions of the helium atom with fast H$^{+}$ and He$^{2+}$ ions are studied. The differential cross sections as a function of the scattering angle are calculated using highly correlated wavefunctions with expansion of the transition amplitude in the Born series through the second order. The results of these calculations are compared with recent experimental data for H$^{+}$ impact. [Preview Abstract] |
|
KWP.00120: Rotational and vibrational energy transfer in impulsive ion-molecule collisions Masato Nakamura, Atsushi Icmimura We study rotational and vibrational energy transfer in large angle scattering of ions from molecules in the energy range of atomic unit. In such a collision, the interaction time is much shorter than the rotational period of the molecule. Sometimes, the interaction time becomes even shorter than the vibrational period. We have proposed a new model (hard potential model) for rotational and vibrational energy transfer in a limit of sudden collision. This model is a natural extension of the previously proposed hard-shell model where only the rotational degree of freedom is taken into account. Using the two models, we have studied systematically how energy-loss spectrum changes with collision energy. Here we study the dependence on mass of the projectile in collisions between closed-shell ions and N$_{2}$. Through the comparison between models and CT calculation, we find that the collision is sudden both rotationally and vibrationally for H$^{+}$-N$_{2}$, rotationally sudden and vibrationally non-sudden for Li$^{+}$-N$_{2}$, and non-sudden both rotationally and vibrataionally for Na$^{+}$ with N$_{2}$. Non-sudden phenomenon in the case of Na$^{+}$ - N$_{2}$ is related to a double collision mechanism. Comparison with experimental measurements will be given. [Preview Abstract] |
|
KWP.00121: Impact production of carbon clusters by use of a light-gas gun to simulate the impact reactions by asteroids in space Tetsu Mieno, Sunao Hasegawa, Kazutaka Mitsuishi In the universe, asteroid collisions to satellites and planets were frequent and made big reactions on the surface of the satellites. Concerning with Titan (one of Saturn's moons), we believe that many kinds of carbon clusters, hydrocarbon molecules and amino-acids have been produced by frequent asteroid's impacts. Because there are huge cold methane seas under nitrogen atmosphere, asteroid impacts resulted in production of many kinds of carbon clusters, being stored in cold and dark methane seas. In order to simulate this impact reaction, a 2-stage light-gas-gun is used. [1] A polycarbonate ball (or a metal ball) is injected into a pressured target chamber to collide with an aluminum target (or a hexane + aluminum target) in 1 atm of nitrogen gas with speed of about 6 km/s. After the impact reaction, the produced sample is analyzed by a TEM etc. As a result, we successfully confirm production of balloon like nano-carbon clusters, metal-capsulated carbon particles, carbon nanotubes and fullerenes. Therefore, we believe that clusters were produced by the impact reactions and stored on Titan. \\[4pt] [1] T. Mieno, S. Hasegawa, Appl. Phys. Exp. 1 (2008) 067006. [Preview Abstract] |
|
KWP.00122: Growth of polycyclic aromatic hydrocarbons by ion-molecule reactions Daniela Ascenzi, Julia Aysina, Paolo Tosi, Andrea Maranzana, Glauco Tonachini In this contribution we discuss a few molecular mechanisms leading to the growth of polycyclic aromatic hydrocarbons (PAHs). Such compounds have been observed in quite different gaseous environments (e.g. combustion systems, interstellar medium, hydrocarbon plasmas), thus understanding the formation of such ubiquitous molecules has become an increasingly important research topic. While great progress has been made in the knowledge of synthesis routes based on radical and neutral reactions, much less is known about ionic mechanisms leading to the synthesis of PAHs. By using a guided ion beam tandem mass spectrometer, we have explored the reactivity of C$_{10}$H$_{7}^{+}$ with C$_{6}$H$_{6}$, observing the growth of hydrocarbon ions via C-C bond forming reactions. The condensation adduct C$_{16}$H$_{13}^{+}$ is observed as the most abundant product at the smallest collision energy ($\sim $ 0.2 eV). Other products are the ions C$_{16}$H$_{n}^{+}$ (n=10-12) coming from H and H$_{2}$ elimination from the adduct, and the C$_{15}$H$_{10}^{+}$ ion formally corresponding to a CH$_{3}$ elimination. To elucidate the mechanisms responsible for such a rich chemistry we have performed \textit{ab initio} calculations. [Preview Abstract] |
|
KWP.00123: Spectroscopic measurements for the diagnostics of intense heavy ion beams Alexander Fedenev, Andreas Ulrich, Dmitry Varentsov Spectroscopic studies of intense, focused heavy ion beams are presented. They are motivated by the concept to measure beam profiles optically via the fluorescence induced in a target gas by the ion beam. Processes such as excitation by secondary electrons may influence the measurement. A concept to minimize this problem is to use the fluorescence of ionized species since the excitation cross sections are almost negligible for electrons but high for the collisions of the heavy projectiles. Spectra of argon and nitrogen excited by a pulsed 300 MeV/u Uranium beam from the heavy ion synchrotron SIS at GSI Darmstadt were recorded for a wavelength range from 280 to 950 nm and target pressures ranging from 100 to 1500 mbar. Beam profiles derived from the various emission features are extracted from the intensity distribution along the image of the spectrometer slit. The time structure of the overall light output as well as the spectrally resolved light emission was also studied. [Preview Abstract] |
|
KWP.00124: Potassium ion impact excitation of helium atoms at moderate energies Ramaz Lomsadze, Malkhaz Gochitashvili, Nugzar Mosulishvili In this work we present absolute differential and total cross sections for charge exchange, ionization, stripping and mutual excitation processes for K$^{+}$-He colliding pairs at the laboratory energies 0.5-10 kev. Experimental techniques include: condenser plate method, collision and optical spectroscopy. It is revealed that in many cases the information extracted from complicated coincidence experiments can also be obtained using a simple method by measuring the energy loss spectrum of incident particles. Obtained results of these inelastic processes show distinctive features: small magnitudes of cross sections; complex structures and sharp differences in the energy dependence of total cross sections of charge exchange, ionization and excitation processes; structural feature in the resonance line of helium atoms. The data obtained in this study is used to explain differences in cross section features and understand mechanisms of above mentioned processes. [Preview Abstract] |
|
KWP.00125: V-V state-to-state rate constants in N$_{2}$ --N$_{2}$ and N$_{2}$-CO collisions in a wide temperature range: semiclassical calculations and analytical approximations Mario Cacciatore, Alexander K. Kurnosov, Anatoly Napartovich, Sergey Shnyrev Accurate semiclassical collision data for single- and multi-quantum vibrational state-selected V-V exchanges in N$_{2}(v)$-N$_{2}(u)$ and N$_{2}(v)$-CO($u)$ collisions have been calculated over a large range of vibrational quantum numbers ($v,u)$ and gas temperature. Analytic approximations are also proposed that agree well with the semiclassical [1] calculations performed for different classes of vibrational exchange processes, from near-resonant to far-from -resonance processes. The newly proposed analytical rate constants, together with the numerical \textit{ab initio} rates, can be used with confidence in vibrational kinetic modeling of nitrogen and carbon monoxide-based gaseous systems, including plasmas sources in laboratory and in nature, under non-thermal equilibrium conditions. \\[4pt] [1] Kurnosov A K, Napartovich A P, Shnyrev S and Cacciatore M, 2007 \textit{J.Phys.Chem. A} \textbf{111}, 7057 [Preview Abstract] |
|
KWP.00126: Oscillations in the wavelength distributions following photon interferences Francois Fremont, Burcu Frankland, Herve Gilles, Sylvain Girard, Jean-Yves Chesnel, Raul O. Barrachina Very recently, interferences using a single electron source were studied experimentally [1] and theoretically [2]. Briefly, a He$^{2+}$ ion captures both electrons from H$_{2}$ in a doubly excited state 2l2l'. After the collision, one He$^{\ast \ast }$ electron is emitted by Auger effect. In the backward direction, it scatters both protons, producing oscillations in the angular distribution of the intensity, due to Young-type interferences. Moreover, we found that the width of the energy distribution also oscillates, with the same period but in counter phase with the intensity oscillations. Since this experimental resembles the original double-slit experiment by Young (1807), we investigated photon interferences in order to determine the wavelength distribution as a function of the position on the detection screen. A detailed analysis reveals maxima and minima in the width distribution, corresponding to minima and maxima in intensity, respectively, inducing a complete analogy between electron and photon interferences [1] J.-Y. Chesnel \textit{et al}., Phys. Rev. Lett. \textbf{98} 100403 (2007). [2] R. O. Barrachina and M. Zitnik, J. Phys. B \textbf{37} 3847 (2004). [Preview Abstract] |
|
KWP.00127: DISSOCIATION, RECOMBINATION, AND ATTACHMENT |
|
KWP.00128: Electron and Ion Reactions with ClN$_{3}$ Thomas M. Miller, Nicole Eyet, Keith Freel, Jeffrey F. Friedman, Michael C. Heaven, A.A. Viggiano Since its first synthesis in 1908, there have been relatively few studies addressing chemistry of ClN$_{3}$ and none regarding electron and ion reactions with ClN$_{3}$, probably because of the extremely explosive character of the compound. There are 4 exothermic channels in electron attachment to ClN$_{3}$, but only the Cl$^{-}$ channel was observed to occur at 298 and 400 K in the present work. Electron attachment rates were measured to be 3.5 $\times $ 10$^{-8}$ and 4.5 $\times $ 10$^{-8}$ cm$^{3}$ s$^{-1}$ at 298 K and 400 K, $\pm $35{\%}, using a FALP apparatus. The activation energy for the reaction is 24 $\pm $ 10 meV. The reactivity of ClN$_{3}$ with 17 negative ions and 21 positive ions has been investigated at 300 K using a SIFT apparatus. The electron affinity, (2.48 $\pm $ 0.20 eV), proton affinity (713 $\pm$ 41 kJ mol$^{-1})$, and ionization energy ($>$ 9.6 eV) of ClN$_{3}$ were bracketed. These measurements are in agreement with results from G3 calculations. For negative ion reactions, the major product of the reactions was Cl$^{-}$, while charge transfer, N$_{3}^{-}$ production and O atom incorporation were also observed. Reactions of positive ions often resulted in dissociative charge transfer forming NCl$^{+}$ product. [Preview Abstract] |
|
KWP.00129: Absolute cross sections for dissociative electron attachment to acidic molecules Michael Allan, Dusan Kubala, Olivier May The molecules studied span the range from very strong to very weak acids, $i.e.$, HCl and HBr, HCOOH, CH$_3 $OH, HCN and C$_2$H$_2$, including the deuterated isotopomers. The results are compared to available calculations where available -- the nonlocal resonance model [1] for diatomics, the \textit{ab initio} truly three dimensional calculation for HCN and C$_2$H$_2$ [2] and the pseudo-diatomic $R$-matrix calcualtion for HCOOH [3]. The step-like structures at openings of the X-H stretch vibrational excitation channels are characteristic for the stronger acids, but absent for the weak acids. Structure due to vibrational excitation of the CN$^-$ fragment is observed for HCN.\\[4pt] [1] J.~Fedor, C.~Winstead, V. McKoy, M.~Cizek, K.~Houfek, P.~Kolorenc, J.~Horacek, Phys. Rev. A \textbf{81}, 042702 (2010).\\[0pt] [2] S.~Chourou, A.~Larson, A.~E.~Orel, J. Phys.: Conf. Ser. \textbf{204 }, 012001 (2010).\\[0pt] [3] G.~A.~Gallup, P.~D.~Burrow, I.~I.~Fabrikant, Phys. Rev. A \textbf{79}, 042701 (2009). [Preview Abstract] |
|
KWP.00130: A Multiquantum State-to-State Database for Dissociation in Air Plasmas M\'ario Lino da Silva, Vasco Guerra, Jorge Loureiro The modelling of dissociation in high-temperature plasmas, with translational temperature in excess of 1,000-2,000K, mandates the application of detailed state-resolved models. In fact, the traditional first-order approaches, such as the SSH theory, begin to fail, yielding overestimated rates and neglecting multiquantum transitions. The multiquantum Forced Harmonic Oscillator method has instead been considered for N$_{2}$ and O$_{2}$ diatom-diatom dissociation rates, whereas semiclassical rates recently published by the Bari group have been considered for N$_{2}$ and O$_{2}$ atom-diatom dissociation rates. Finally, Zeldovich rates for the formation of NO have been selected from the literature. The resulting model provides an improved simulation of the dissociation processes in air plasmas. [Preview Abstract] |
|
KWP.00131: DISTRIBUTION FUNCTIONS AND TRANSPORT COEFFICIENTS: ELECTRONS AND IONS |
|
KWP.00132: Calculation of electron transport data in noble gases Sergey Maiorov, Rusudan Golyatina The features of the energy distribution function of electrons drifting in a rare gas are analyzed. The case of electron drift in He, Ne, Ar, Kr and Xe is considered. The results of calculation of the energy balance of electrons and drift characteristics in an electric field at strengths of 0.1 $<$ E/N $<$ 1000 Td taking into account inelastic collisions are presented. We consider the model of electron-atom collisions, which makes it possible to properly consider the energy balance of electrons, including of inelastic collisions. Based on a numerical experiment, characteristics of electron velocity distribution function and energy characteristics of electron drift in the dc electric field were tabulated. The drift velocities, average electron energies, characteristic Townsend energies, average electron energies resulting in atom excitation and ionization events, the ratio of elastic and inelastic energy loss, and the ionization Townsend coefficient were calculated. Moreover, electron diffusion along and across the electric field was considered and the dependence of diffusivities on the diffusion time was obtained. The presented data can be used to analyze experiments with dusty plasma. [Preview Abstract] |
|
KWP.00133: Non-local effects in spatial distribution of excitation rates and differential electron fluxes in positive column of glow discharge plasma at moderate and high pressures Eugene Bogdanov, Kirill Kapustin, Anatoly Kudryavtsev, Lev Tsendin At simulations of gas-discharge plasmas the EDF is usually calculated using the local approximation (LA) which is applicable only when electron energy relaxation length le $<<$ R - plasma size. For atomic gases le $>$100*l (l - electron free-path-length), so the LA for EDF is not valid up to high gas pressures. Differential fluxes of electron with defined energy have complicated spatial distribution related to the nonlocal character of the EDF. In case of elastic energy balance of electrons, the direction of the differential electron flux also essentially depends on the energy dependence of the elastic scattering cross section. If this cross-section increases with energy, electrons would increase their energy only on the periphery of the discharge. While near the discharge axis, where the kinetic energy of electrons and hence the frictional force due to elastic collisions is maximum, the differential flow is directed towards reducing the energy, i.e. against the direction of heating electric field. The paradoxical nonmonotonic behavior of spatial profiles of excitation rates in DC positive column plasmas bounded plasmas was revealed. [Preview Abstract] |
|
KWP.00134: Spatiotemporal development of charged particle swarms in gases under the influence of electric and magnetic fields Sasa Dujko, Zoran Raspopovic, Ronald White, Toshiaki Makabe, Zoran Petrovic In this work we present a systematic investigation of the synergism of magnetic field and non-conservative collisional processes on spatially resolved transport data of charged particle swarms in neutral gases under the influence of electric and magnetic fields crossed at arbitrary angles. Spatiotemporal development of the swarm is followed by a Monte Carlo simulation technique in certain collisional models of ionization and attachment over a range of angles between the fields and field strengths. Independently of the field configuration, it is found that spatial density profiles of the swarm relax to a Gaussian profile after a sufficient time with a spatial segregation of groups with different average energies resulting in a linear profile. [Preview Abstract] |
|
KWP.00135: Formation of Non-Maxwellian, Non-Isotropic Electron Velocity Distribution Functions and Its Influence on Discharge Structure Igor D. Kaganovich, Yevgeny Raitses, Alex V. Khrabrov, Vladimir I. Demidov, Dmytro Sydorenko Under most conditions the EVDF in gas discharges is isotropic. However, at low pressures or high electric field the EDVF may become anisotropic. We report on recent advances in studies of non-isotropic EVDF in the Hall thruster discharges and the cathode fall region of dc discharges. In Hall thrusters due to low pressure, the bulk EVDF is strongly anisotropic with the effective electron temperature in one direction being few times larger than in another direction. In a dc discharge in helium, electrons are accelerated in cathode fall faster than being scattered, which results in formation of non-isotropic EVDF. Analytical formulas for the EVDF and sheath potentials are derived for both cases. Observed complex nonlinear processes: relaxation oscillations in Hall thrusters and abrupt changes in structure of dc discharges with auxiliary biased electrodes for plasma control are explained. [Preview Abstract] |
Follow Us |
Engage
Become an APS Member |
My APS
Renew Membership |
Information for |
About APSThe American Physical Society (APS) is a non-profit membership organization working to advance the knowledge of physics. |
© 2024 American Physical Society
| All rights reserved | Terms of Use
| Contact Us
Headquarters
1 Physics Ellipse, College Park, MD 20740-3844
(301) 209-3200
Editorial Office
100 Motor Pkwy, Suite 110, Hauppauge, NY 11788
(631) 591-4000
Office of Public Affairs
529 14th St NW, Suite 1050, Washington, D.C. 20045-2001
(202) 662-8700